summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--.gitignore17
-rw-r--r--Makefile120
-rw-r--r--README.md131
-rw-r--r--bsp/drivers/clic/clic_driver.c163
-rw-r--r--bsp/drivers/clic/clic_driver.h44
-rw-r--r--bsp/drivers/fe300prci/fe300prci_driver.c252
-rw-r--r--bsp/drivers/fe300prci/fe300prci_driver.h79
-rw-r--r--bsp/drivers/plic/plic_driver.c127
-rw-r--r--bsp/drivers/plic/plic_driver.h51
-rw-r--r--bsp/env/common.mk56
-rw-r--r--bsp/env/coreip-e2-arty/flash.lds161
-rw-r--r--bsp/env/coreip-e2-arty/init.c98
l---------bsp/env/coreip-e2-arty/openocd.cfg1
-rw-r--r--bsp/env/coreip-e2-arty/platform.h98
l---------bsp/env/coreip-e2-arty/settings.mk1
-rw-r--r--bsp/env/coreip-e2-arty/tim-split.lds157
-rw-r--r--bsp/env/coreip-e2-arty/tim.lds161
-rw-r--r--bsp/env/coreplexip-arty.h102
-rw-r--r--bsp/env/coreplexip-e31-arty/dhrystone.lds157
-rw-r--r--bsp/env/coreplexip-e31-arty/flash.lds161
-rw-r--r--bsp/env/coreplexip-e31-arty/init.c122
-rw-r--r--bsp/env/coreplexip-e31-arty/openocd.cfg31
-rw-r--r--bsp/env/coreplexip-e31-arty/platform.h100
-rw-r--r--bsp/env/coreplexip-e31-arty/scratchpad.lds161
-rw-r--r--bsp/env/coreplexip-e31-arty/settings.mk3
l---------bsp/env/coreplexip-e51-arty/dhrystone.lds1
l---------bsp/env/coreplexip-e51-arty/flash.lds1
l---------bsp/env/coreplexip-e51-arty/init.c1
l---------bsp/env/coreplexip-e51-arty/openocd.cfg1
l---------bsp/env/coreplexip-e51-arty/platform.h1
l---------bsp/env/coreplexip-e51-arty/scratchpad.lds1
-rw-r--r--bsp/env/coreplexip-e51-arty/settings.mk3
-rw-r--r--bsp/env/encoding.h1313
-rw-r--r--bsp/env/entry.S98
l---------bsp/env/freedom-e300-arty/flash.lds1
-rw-r--r--bsp/env/freedom-e300-arty/init.c87
-rw-r--r--bsp/env/freedom-e300-arty/openocd.cfg30
-rw-r--r--bsp/env/freedom-e300-arty/platform.h124
-rw-r--r--bsp/env/freedom-e300-arty/settings.mk3
-rw-r--r--bsp/env/freedom-e300-hifive1/dhrystone.lds157
-rw-r--r--bsp/env/freedom-e300-hifive1/flash.lds161
-rw-r--r--bsp/env/freedom-e300-hifive1/init.c238
-rw-r--r--bsp/env/freedom-e300-hifive1/openocd.cfg34
-rw-r--r--bsp/env/freedom-e300-hifive1/platform.h133
-rw-r--r--bsp/env/freedom-e300-hifive1/settings.mk3
-rw-r--r--bsp/env/hifive1.h81
-rw-r--r--bsp/env/start.S112
-rw-r--r--bsp/env/ventry.S288
-rw-r--r--bsp/include/sifive/bits.h36
-rw-r--r--bsp/include/sifive/const.h18
-rw-r--r--bsp/include/sifive/devices/aon.h88
-rw-r--r--bsp/include/sifive/devices/clic.h30
-rw-r--r--bsp/include/sifive/devices/clint.h14
-rw-r--r--bsp/include/sifive/devices/gpio.h24
-rw-r--r--bsp/include/sifive/devices/otp.h23
-rw-r--r--bsp/include/sifive/devices/plic.h31
-rw-r--r--bsp/include/sifive/devices/prci.h56
-rw-r--r--bsp/include/sifive/devices/pwm.h37
-rw-r--r--bsp/include/sifive/devices/spi.h80
-rw-r--r--bsp/include/sifive/devices/uart.h27
-rw-r--r--bsp/include/sifive/sections.h17
-rw-r--r--bsp/include/sifive/smp.h65
-rw-r--r--bsp/libwrap/libwrap.mk56
-rw-r--r--bsp/libwrap/misc/write_hex.c19
-rw-r--r--bsp/libwrap/stdlib/malloc.c17
-rw-r--r--bsp/libwrap/sys/_exit.c17
-rw-r--r--bsp/libwrap/sys/close.c11
-rw-r--r--bsp/libwrap/sys/execve.c11
-rw-r--r--bsp/libwrap/sys/fork.c9
-rw-r--r--bsp/libwrap/sys/fstat.c18
-rw-r--r--bsp/libwrap/sys/getpid.c8
-rw-r--r--bsp/libwrap/sys/isatty.c13
-rw-r--r--bsp/libwrap/sys/kill.c11
-rw-r--r--bsp/libwrap/sys/link.c11
-rw-r--r--bsp/libwrap/sys/lseek.c16
-rw-r--r--bsp/libwrap/sys/open.c11
-rw-r--r--bsp/libwrap/sys/openat.c11
-rw-r--r--bsp/libwrap/sys/puts.c28
-rw-r--r--bsp/libwrap/sys/read.c32
-rw-r--r--bsp/libwrap/sys/sbrk.c18
-rw-r--r--bsp/libwrap/sys/stat.c12
-rw-r--r--bsp/libwrap/sys/stub.h10
-rw-r--r--bsp/libwrap/sys/times.c12
-rw-r--r--bsp/libwrap/sys/unlink.c11
-rw-r--r--bsp/libwrap/sys/wait.c9
-rw-r--r--bsp/libwrap/sys/weak_under_alias.h7
-rw-r--r--bsp/libwrap/sys/write.c31
-rw-r--r--doc/sphinx/userguide/benchmarking.rst55
-rw-r--r--doc/sphinx/userguide/buildingcoreip.rst6
-rw-r--r--doc/sphinx/userguide/buildingdevboard.rst10
-rw-r--r--doc/sphinx/userguide/standalone.rst2
-rw-r--r--scripts/libmetal.mk16
-rw-r--r--scripts/standalone.mk4
-rw-r--r--software/asm_main/Makefile6
-rw-r--r--software/asm_main/asm_main.S8
-rw-r--r--software/clic_vectored/Makefile10
-rw-r--r--software/clic_vectored/clic_vectored.c197
-rw-r--r--software/coremark/.gitignore9
-rw-r--r--software/coremark/.unsupported-boards6
-rw-r--r--software/coremark/Makefile20
-rw-r--r--software/coremark/core_portme.c52
-rw-r--r--software/coremark/core_portme.h58
-rw-r--r--software/coreplexip_welcome/.unsupported-boards2
-rw-r--r--software/coreplexip_welcome/Makefile8
-rw-r--r--software/coreplexip_welcome/coreplexip_welcome.c124
-rw-r--r--software/demo_gpio/.gitignore1
-rw-r--r--software/demo_gpio/Makefile9
-rw-r--r--software/demo_gpio/demo_gpio.c252
-rw-r--r--software/dhrystone/.gitignore1
-rw-r--r--software/dhrystone/Makefile21
-rw-r--r--software/dhrystone/dhry.h423
-rw-r--r--software/dhrystone/dhry_1.c385
-rw-r--r--software/dhrystone/dhry_2.c192
-rw-r--r--software/dhrystone/dhry_printf.c271
-rw-r--r--software/dhrystone/dhry_stubs.c15
-rw-r--r--software/double_tap_dontboot/.unsupported-boards3
-rw-r--r--software/double_tap_dontboot/Makefile6
-rw-r--r--software/double_tap_dontboot/double_tap_dontboot.c153
-rw-r--r--software/global_interrupts/.unsupported-boards2
-rw-r--r--software/global_interrupts/Makefile9
-rw-r--r--software/global_interrupts/global_interrupts.c250
-rw-r--r--software/i2c_demo/.gitignore1
-rw-r--r--software/i2c_demo/Makefile6
-rw-r--r--software/i2c_demo/i2c_demo.c318
-rw-r--r--software/led_fade/.unsupported-boards4
-rw-r--r--software/led_fade/Makefile6
-rw-r--r--software/led_fade/led_fade.c167
-rw-r--r--software/local_interrupts/.unsupported-boards2
-rw-r--r--software/local_interrupts/Makefile8
-rw-r--r--software/local_interrupts/local_interrupts.c216
-rw-r--r--software/performance_counters/Makefile6
-rw-r--r--software/performance_counters/performance_counters.c133
-rw-r--r--software/smp/Makefile6
-rw-r--r--software/smp/atomic.h29
-rw-r--r--software/smp/smp.c40
-rw-r--r--software/vectored_interrupts/Makefile10
-rw-r--r--software/vectored_interrupts/vectored_interrupts.c270
-rw-r--r--software/watchdog/.gitignore1
-rw-r--r--software/watchdog/.unsupported-boards1
-rw-r--r--software/watchdog/Makefile9
-rw-r--r--software/watchdog/watchdog.c221
141 files changed, 46 insertions, 10375 deletions
diff --git a/.gitignore b/.gitignore
index 2f420c2..b76af1f 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1,21 +1,4 @@
*.o
*.a
-work/
-toolchain/
-
-software/coremark/coremark
-software/coreplexip_welcome/coreplexip_welcome
-software/demo_gpio/demo_gpio
-software/dhrystone/dhrystone
-software/double_tap_dontboot/double_tap_dontboot
-software/global_interrupts/global_interrupts
-software/led_fade/led_fade
-software/local_interrupts/local_interrupts
-software/performance_counters/performance_counters
-software/smp/smp
-
-bsp/*/build
-bsp/*/install
-
/Makefile.local
/enter.bash
diff --git a/Makefile b/Makefile
index 4afdacf..355524e 100644
--- a/Makefile
+++ b/Makefile
@@ -11,31 +11,16 @@ $(info Obtaining additional make variables from $(extra_configs))
include $(extra_configs)
endif
-# Select Legacy BSP or Freedom Metal BSP
-# Allowed values are 'legacy' and 'metal'
-BSP ?= legacy
-
-# Use BOARD as a synonym for TARGET
+# Allow BOARD as a synonym for TARGET
ifneq ($(BOARD),)
TARGET ?= $(BOARD)
endif
-ifeq ($(BSP),legacy)
-BSP_SUBDIR ?= env
-TARGET ?= freedom-e300-hifive1
-PROGRAM ?= demo_gpio
-LINK_TARGET ?= flash
-GDB_PORT ?= 3333
-
-else # MEE
-override BSP = metal
-BSP_SUBDIR ?=
+# Default PROGRAM and TARGET
PROGRAM ?= hello
TARGET ?= sifive-hifive1
-endif # $(BSP)
-
-TARGET_ROOT ?= $(abspath .)
+TARGET_ROOT ?= $(abspath .)
PROGRAM_ROOT ?= $(abspath .)
SRC_DIR = $(PROGRAM_ROOT)/software/$(PROGRAM)
@@ -49,9 +34,9 @@ PROGRAM_HEX = $(SRC_DIR)/$(PROGRAM).hex
# Finds the directory in which this BSP is located, ensuring that there is
# exactly one.
-BSP_DIR := $(wildcard $(TARGET_ROOT)/bsp/$(BSP_SUBDIR)/$(TARGET))
+BSP_DIR := $(wildcard $(TARGET_ROOT)/bsp/$(TARGET))
ifeq ($(words $(BSP_DIR)),0)
-$(error Unable to find BSP for $(TARGET), expected to find either "bsp/$(TARGET)" or "bsp-addons/$(TARGET)")
+$(error Unable to find BSP for $(TARGET), expected to find "bsp/$(TARGET)")
endif
ifneq ($(words $(BSP_DIR)),1)
$(error Found multiple BSPs for $(TARGET): "$(BSP_DIR)")
@@ -67,7 +52,7 @@ endif
# The standalone Makefile handles the following tasks:
# - Including $(BSP_DIR)/settings.mk and validating RISCV_ARCH, RISCV_ABI
# - Setting the toolchain path with CROSS_COMPILE and RISCV_PATH
-# - Providing the software and $(PROGRAM_ELF) Make targets for the MEE
+# - Providing the software and $(PROGRAM_ELF) Make targets for Metal
include scripts/standalone.mk
@@ -79,25 +64,25 @@ help:
@echo " SiFive Freedom E Software Development Kit "
@echo " Makefile targets:"
@echo ""
- @echo " software BSP=metal [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
+ @echo " software [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
@echo " Build a software program to load with the"
@echo " debugger."
@echo ""
- @echo " metal BSP=metal [TARGET=$(TARGET)]"
- @echo " Build the MEE library for TARGET"
+ @echo " metal [TARGET=$(TARGET)]"
+ @echo " Build the Freedom Metal library for TARGET"
@echo ""
- @echo " clean BSP=metal [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
+ @echo " clean [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
@echo " Clean compiled objects for a specified "
@echo " software program."
@echo ""
- @echo " upload BSP=metal [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
+ @echo " upload [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
@echo " Launch OpenOCD to flash your program to the"
@echo " on-board Flash."
@echo ""
- @echo " debug BSP=metal [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
+ @echo " debug [PROGRAM=$(PROGRAM) TARGET=$(TARGET)]:"
@echo " Launch OpenOCD and attach GDB to the running program."
@echo ""
- @echo " standalone BSP=metal STANDALONE_DEST=/path/to/desired/location"
+ @echo " standalone STANDALONE_DEST=/path/to/desired/location"
@echo " [INCLUDE_METAL_SOURCES=1] [PROGRAM=$(PROGRAM)]"
@echo " [TARGET=$(TARGET)]:"
@echo " Export a program for a single target into a standalone"
@@ -109,34 +94,30 @@ help:
clean:
#############################################################
-# Enumerate MEE BSPs and Programs
+# Enumerate BSPs and Programs
#
-# List all available MEE boards and programs in a form that
+# List all available boards and programs in a form that
# Freedom Studio knows how to parse. Do not change the
# format or fixed text of the output without consulting the
# Freedom Studio dev team.
#############################################################
-ifeq ($(BSP),metal)
-
-# MEE boards are any folders that aren't the Legacy BSP or update-targets.sh
+#
+# Metal boards are any folders that aren't the Legacy BSP or update-targets.sh
EXCLUDE_TARGET_DIRS = drivers env include libwrap update-targets.sh
list-targets:
@echo bsp-list: $(sort $(filter-out $(EXCLUDE_TARGET_DIRS),$(notdir $(wildcard bsp/*))))
-# MEE programs are any submodules in the software folder
+# Metal programs are any submodules in the software folder
list-programs:
@echo program-list: $(shell grep -o '= software/.*$$' .gitmodules | sed 's/.*\///')
list-options: list-programs list-targets
-endif
-
#############################################################
# Import rules to build Freedom Metal
#############################################################
-ifeq ($(BSP),metal)
+
include scripts/libmetal.mk
-endif
#############################################################
# elf2hex
@@ -162,7 +143,6 @@ clean: clean-elf2hex
# Standalone Project Export
#############################################################
-ifeq ($(BSP),metal)
ifeq ($(STANDALONE_DEST),)
standalone:
$(error Please provide STANDALONE_DEST to create a standalone project)
@@ -213,14 +193,11 @@ standalone: \
cat scripts/standalone.mk >> $</Makefile
endif
endif
-endif
#############################################################
# CoreIP RTL Simulation Hex File Creation
#############################################################
-ifeq ($(BSP),metal)
-
# Use elf2hex if we're not using Segger J-Link OB (i.e. for coreip-rtl targets)
ifeq ($(SEGGER_JLINK_OB),)
$(PROGRAM_HEX): \
@@ -229,28 +206,6 @@ $(PROGRAM_HEX): \
$< --output $@ --input $(PROGRAM_ELF) --bit-width $(COREIP_MEM_WIDTH)
endif
-endif
-
-#############################################################
-# Legacy Software Compilation
-#############################################################
-
-ifeq ($(BSP),legacy)
-PROGRAM_DIR=$(dir $(PROGRAM_ELF))
-
-.PHONY: software_clean
-clean: software_clean
-software_clean:
- $(MAKE) -C $(PROGRAM_DIR) CC=$(RISCV_GCC) RISCV_ARCH=$(RISCV_ARCH) RISCV_ABI=$(RISCV_ABI) AR=$(RISCV_AR) BSP_BASE=$(abspath bsp) TARGET=$(TARGET) LINK_TARGET=$(LINK_TARGET) clean
-
-.PHONY: software
-software: software_clean
- $(MAKE) -C $(PROGRAM_DIR) CC=$(RISCV_GCC) RISCV_ARCH=$(RISCV_ARCH) RISCV_ABI=$(RISCV_ABI) AR=$(RISCV_AR) BSP_BASE=$(abspath bsp) TARGET=$(TARGET) LINK_TARGET=$(LINK_TARGET)
-
-dasm: software $(RISCV_OBJDUMP)
- $(RISCV_OBJDUMP) -D $(PROGRAM_ELF)
-endif
-
#############################################################
# Upload and Debug
#############################################################
@@ -262,8 +217,6 @@ else
RISCV_OPENOCD=openocd
endif
-ifeq ($(BSP),metal)
-
ifneq ($(SEGGER_JLINK_OB),)
upload: $(PROGRAM_HEX)
scripts/upload --hex $(PROGRAM_HEX) --jlink $(SEGGER_JLINK_EXE)
@@ -280,38 +233,3 @@ debug: $(PROGRAM_ELF)
scripts/debug --elf $(PROGRAM_ELF) --openocd $(RISCV_OPENOCD) --gdb $(RISCV_GDB) --openocd-config bsp/$(TARGET)/openocd.cfg
endif
-else # BSP != metal
-
-OPENOCDCFG ?= bsp/env/$(TARGET)/openocd.cfg
-OPENOCDARGS += -f $(OPENOCDCFG)
-
-GDB_UPLOAD_ARGS ?= --batch
-
-GDB_UPLOAD_CMDS += -ex "set remotetimeout 240"
-GDB_UPLOAD_CMDS += -ex "target extended-remote localhost:$(GDB_PORT)"
-GDB_UPLOAD_CMDS += -ex "monitor reset halt"
-GDB_UPLOAD_CMDS += -ex "monitor flash protect 0 64 last off"
-GDB_UPLOAD_CMDS += -ex "load"
-GDB_UPLOAD_CMDS += -ex "monitor resume"
-GDB_UPLOAD_CMDS += -ex "monitor shutdown"
-GDB_UPLOAD_CMDS += -ex "quit"
-
-upload:
- $(RISCV_OPENOCD) $(OPENOCDARGS) & \
- $(RISCV_GDB) $(PROGRAM_DIR)/$(PROGRAM) $(GDB_UPLOAD_ARGS) $(GDB_UPLOAD_CMDS) && \
- echo "Successfully uploaded '$(PROGRAM)' to $(TARGET)."
-
-#############################################################
-# This Section is for launching the debugger
-#############################################################
-
-run_openocd:
- $(RISCV_OPENOCD) $(OPENOCDARGS)
-
-GDBCMDS += -ex "set remotetimeout 240"
-GDBCMDS += -ex "target extended-remote localhost:$(GDB_PORT)"
-
-run_gdb:
- $(RISCV_GDB) $(PROGRAM_DIR)/$(PROGRAM) $(GDBARGS) $(GDBCMDS)
-
-endif # BSP == metal
diff --git a/README.md b/README.md
index 56d3ddd..18675f6 100644
--- a/README.md
+++ b/README.md
@@ -7,6 +7,10 @@ RISC-V GNU Toolchain.
[Documentation for Freedom E SDK is available here](https://sifive.github.io/freedom-e-sdk-docs/index.html)
+Freedom E SDK was recently transitioned to using the Freedom Metal compatibility
+library. If you're looking for the old Freedom E SDK, software examples, and
+board support files, you can find those on the [v1\_0 branch](https://github.com/sifive/freedom-e-sdk/tree/v1_0).
+
### Under Construction ###
This repository is currently under construction as we transition from the
@@ -81,54 +85,6 @@ of Freedom E SDK.
- Demonstrates how to configure a Physical Memory Protection (PMP) region
- example-coreip-welcome
- Prints the SiFive banner and blinks LEDs
-
-#### (Deprecated) Legacy Freedom E SDK Library ####
-
-As we transition to supporting SiFive targets and examples with the new Freedom Metal
-compatibility library, the legacy Freedom E SDK libraries and examples are still available
-within this repository.
-
-As we port legacy example programs to the new Freedom Metal API, we will remove
-the legacy version of the examples from this repository.
-
-* Board Support Packages (found under `bsp/env`)
- - Supported Targets:
- - SiFive HiFive 1
- - freedom-e300-hifive1
- - SiFive Freedom E310 Arty
- - freedom-e300-arty
- - SiFive CoreIP Arty FPGA Evaluation Targets (e.g. coreplexip-e31-arty)
- - coreip-e2-arty
- - coreplexip-e31-arty
- - coreplexip-e51-arty
- - The Legacy board support files are located as follows:
- * `bsp/env/`
- - Holds board-specific files for the Legacy API
- * `bsp/drivers/`
- - Holds legacy device drivers for the PLIC, CLIC, and PRCI
- * `bsp/include`
- - Holds legacy header files for the Legacy API
- * `bsp/libwrap`
- - Holds wrappers around libc for the Legacy API
-* A Few Example Programs
- - asm\_main
- - clic\_vectored
- - coremark
- - coreplexip\_welcome
- - demo\_gpio
- - dhrystone
- - double\_tap\_dontboot
- - global\_interrupts
- - i2c\_demo
- - led\_fade
- - local\_interrupts
- - performance\_counters
- - smp
- - vectored\_interrupts
- - watchdog
-
-Legacy examples can be built using the same commands as the Freedom Metal examples and simply
-omitting the `BSP=metal` argument or substituting it with `BSP=legacy`.
### Setting up the SDK ###
@@ -189,18 +145,12 @@ git submodule update --init --recursive
### Using the Tools ###
-To ease the transition for consumers of the Legacy SDK, we've namespaced
-the new Freedom Metal examples behind the environment variable `BSP`. To use the
-Freedom Metal examples, you may `export BSP=metal` in your shell environment, or simply
-pass `BSP=metal` as an argument to your `make` invocations as you see in the following
-example commands.
-
#### Building an Example ####
To compile a bare-metal RISC-V program:
```
-make BSP=metal [PROGRAM=hello] [TARGET=sifive-hifive1] software
+make [PROGRAM=hello] [TARGET=sifive-hifive1] software
```
The square brackets in the above command indicate optional parameters for the
@@ -210,35 +160,25 @@ If, for example, you wished to build the `timer-interrupt` example for the S51
Arty FPGA Evaluation target, you would instead run the command
```
-make BSP=metal PROGRAM=timer-interrupt TARGET=coreip-s51-arty software
-```
-
-#### Building a Legacy Example ####
-
-The legacy examples can still be built by omitting `BSP=metal` or by substituting
-`BSP=legacy`. For example, to build the legacy `demo_gpio` application for
-HiFive 1, run:
-
-```
-make PROGRAM=demo_gpio TARGET=freedom-e300-hifive1 software
+make PROGRAM=timer-interrupt TARGET=coreip-s51-arty software
```
#### Uploading to the Target Board ####
```
-make BSP=metal [PROGRAM=hello] [TARGET=sifive-hifive1] upload
+make [PROGRAM=hello] [TARGET=sifive-hifive1] upload
```
#### Debugging a Target Program ####
```
-make BSP=metal [PROGRAM=hello] [TARGET=sifive-hifive1] debug
+make [PROGRAM=hello] [TARGET=sifive-hifive1] debug
```
#### Cleaning a Target Program Build Directory ####
```
-make BSP=metal [PROGRAM=hello] [TARGET=sifive-hifive1] clean
+make [PROGRAM=hello] [TARGET=sifive-hifive1] clean
```
#### Create a Standalone Project ####
@@ -256,62 +196,11 @@ project. If this argument is not included, then the Freedom Metal library will
be included in the generated project as a pre-built archive.
```
-make BSP=metal [PROGRAM=hello] [TARGET=sifive-hifive1] [INCLUDE_METAL_SOURCES=1] STANDALONE_DEST=/path/to/desired/location standalone
+make [PROGRAM=hello] [TARGET=sifive-hifive1] [INCLUDE_METAL_SOURCES=1] STANDALONE_DEST=/path/to/desired/location standalone
```
Run `make help` for more commands.
-### Benchmarking ###
-
-The Dhrystone and CoreMark benchmarks are still only supported by the Legacy
-Freedom E SDK. When we port the benchmarks to Freedom Metal, we will update
-this section to describe the updated build steps.
-
-#### Dhrystone ####
-
-After setting up the software and debug toolchains, you can build and
-execute everyone's favorite benchmark as follows:
-
-- Compile the benchmark with the command `make software TARGET=freedom-e300-hifive1 PROGRAM=dhrystone LINK_TARGET=dhrystone`. Note that a slightly different linker file is used for Dhrystone which stores read only data in DTIM instead of external flash.
-- Run on the HiFive1 board with the command `make upload TARGET=freedom-e300-hifive1 PROGRAM=dhrystone`.
- This will take a few minutes. Sample output is provided below.
-- Compute DMIPS by dividing the Dhrystones per Second result by 1757, which
- was the VAX 11/780's performance. In the example below, 729927 / 1757 =
- 415 DMIPS.
-- Compute DMIPS/MHz by dividing by the clock rate: in the example below,
- 415 / 268 = 1.55 DMIPS/MHz.
-
-```
-core freq at 268694323 Hz
-
-Dhrystone Benchmark, Version 2.1 (Language: C)
-
-<snip>
-
-Microseconds for one run through Dhrystone: 1.3
-Dhrystones per Second: 729927.0
-```
-
-#### CoreMark ####
-
-We cannot distribute the CoreMark benchmark, but following are instructions
-to download and run the benchmark on the HiFive1 board:
-
-- Download CoreMark from EEMBC's web site and extract the archive from
- http://www.eembc.org/coremark/download.php.
-- Copy the following files from the extracted archive into the
- `software/coremark` directory in this repository:
- - `core_list_join.c`
- - `core_main.c`
- - `coremark.h`
- - `core_matrix.c`
- - `core_state.c`
- - `core_util.c`
-- Compile the benchmark with the command `make software PROGRAM=coremark`.
-- Run on the HiFive1 board with the command `make upload PROGRAM=coremark`.
-- Divide the reported Iterations/Sec by the reported core frequency in MHz to
- obtain a CoreMarks/MHz value.
-
### For More Information ###
Documentation, Forums, and much more available at
diff --git a/bsp/drivers/clic/clic_driver.c b/bsp/drivers/clic/clic_driver.c
deleted file mode 100644
index 136ccee..0000000
--- a/bsp/drivers/clic/clic_driver.c
+++ /dev/null
@@ -1,163 +0,0 @@
-// See LICENSE for license details.
-
-#include "sifive/devices/clic.h"
-#include "clic/clic_driver.h"
-#include "platform.h"
-#include "encoding.h"
-#include <string.h>
-
-
-void volatile_memzero(uint8_t * base, unsigned int size) {
- volatile uint8_t * ptr;
- for (ptr = base; ptr < (base + size); ptr++){
- *ptr = 0;
- }
-}
-
-// Note that there are no assertions or bounds checking on these
-// parameter values.
-void clic_init (
- clic_instance_t * this_clic,
- uintptr_t hart_addr,
- interrupt_function_ptr_t* vect_table,
- interrupt_function_ptr_t default_handler,
- uint32_t num_irq,
- uint32_t num_config_bits
- )
-{
- this_clic->hart_addr= hart_addr;
- this_clic->vect_table= vect_table;
- this_clic->num_config_bits= num_config_bits;
-
- //initialize vector table
- for(int i=0;i<num_irq;i++) {
- this_clic->vect_table[i] = default_handler;
- }
-
- //set base vectors
- write_csr(mtvt, vect_table);
-
-
- //clear all interrupt enables and pending
- volatile_memzero((uint8_t*)(this_clic->hart_addr+CLIC_INTIE), num_irq);
- volatile_memzero((uint8_t*)(this_clic->hart_addr+CLIC_INTIP), num_irq);
-
- //clear nlbits and nvbits; all interrupts trap to level 15
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_CFG)=0;
-
-}
-
-void clic_install_handler (clic_instance_t * this_clic, uint32_t source, interrupt_function_ptr_t handler) {
- this_clic->vect_table[source] = handler;
-}
-
-void clic_enable_interrupt (clic_instance_t * this_clic, uint32_t source) {
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTIE+source) = 1;
-}
-
-void clic_disable_interrupt (clic_instance_t * this_clic, uint32_t source){
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTIE+source) = 0;
-}
-
-void clic_set_pending(clic_instance_t * this_clic, uint32_t source){
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTIP+source) = 1;
-}
-
-void clic_clear_pending(clic_instance_t * this_clic, uint32_t source){
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTIP+source) = 0;
-}
-
-void clic_set_intcfg (clic_instance_t * this_clic, uint32_t source, uint32_t intcfg){
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTCFG+source) = intcfg;
-}
-
-uint8_t clic_get_intcfg (clic_instance_t * this_clic, uint32_t source){
- return *(volatile uint8_t*)(this_clic->hart_addr+CLIC_INTCFG+source);
-}
-
-void clic_set_cliccfg (clic_instance_t * this_clic, uint32_t cfg){
- *(volatile uint8_t*)(this_clic->hart_addr+CLIC_CFG) = cfg;
-}
-
-uint8_t clic_get_cliccfg (clic_instance_t * this_clic){
- return *(volatile uint8_t*)(this_clic->hart_addr+CLIC_CFG);
-}
-
-//sets an interrupt level based encoding of nmbits, nlbits
-uint8_t clic_set_int_level( clic_instance_t * this_clic, uint32_t source, uint8_t level) {
- //extract nlbits
- uint8_t nlbits = clic_get_cliccfg(this_clic);
- nlbits = (nlbits >>1) & 0x7;
-
- //shift level right to mask off unused bits
- level = level>>((this_clic->num_config_bits)-nlbits); //plus this_clic->nmbits which is always 0 for now.
- //shift level into correct bit position
- level = level << (8-this_clic->num_config_bits) + (this_clic->num_config_bits - nlbits);
-
- //write to clicintcfg
- uint8_t current_intcfg = clic_get_intcfg(this_clic, source);
- clic_set_intcfg(this_clic, source, (current_intcfg | level));
-
- return level;
-}
-
-//gets an interrupt level based encoding of nmbits, nlbits
-uint8_t clic_get_int_level( clic_instance_t * this_clic, uint32_t source) {
- uint8_t level;
- level = clic_get_intcfg(this_clic, source);
-
- //extract nlbits
- uint8_t nlbits = clic_get_cliccfg(this_clic);
- nlbits = (nlbits >>1) & 0x7;
-
- //shift level
- level = level >> (8-(this_clic->num_config_bits));
-
- //shift level right to mask off priority bits
- level = level>>(this_clic->num_config_bits-nlbits); //this_clic->nmbits which is always 0 for now.
-
- return level;
-}
-
-//sets an interrupt priority based encoding of nmbits, nlbits
-uint8_t clic_set_int_priority( clic_instance_t * this_clic, uint32_t source, uint8_t priority) {
- //priority bits = num_config_bits - nlbits
- //extract nlbits
- uint8_t nlbits = clic_get_cliccfg(this_clic);
- nlbits = (nlbits >>1) & 0x7;
-
- uint8_t priority_bits = this_clic->num_config_bits-nlbits;
- if(priority_bits = 0) {
- //no bits to set
- return 0;
- }
- //mask off unused bits
- priority = priority >> (8-priority_bits);
- //shift into the correct bit position
- priority = priority << (8-(this_clic->num_config_bits));
-
- //write to clicintcfg
- uint8_t current_intcfg = clic_get_intcfg(this_clic, source);
- clic_set_intcfg(this_clic, source, (current_intcfg | priority));
- return current_intcfg;
-}
-
-//gets an interrupt priority based encoding of nmbits, nlbits
-uint8_t clic_get_int_priority( clic_instance_t * this_clic, uint32_t source) {
- uint8_t priority;
- priority = clic_get_intcfg(this_clic, source);
-
- //extract nlbits
- uint8_t nlbits = clic_get_cliccfg(this_clic);
- nlbits = (nlbits >>1) & 0x7;
-
- //shift left to mask off level bits
- priority = priority << nlbits;
-
- //shift priority
- priority = priority >> (8-((this_clic->num_config_bits)+nlbits));
-
- return priority;
-}
-
-
diff --git a/bsp/drivers/clic/clic_driver.h b/bsp/drivers/clic/clic_driver.h
deleted file mode 100644
index 27c34c2..0000000
--- a/bsp/drivers/clic/clic_driver.h
+++ /dev/null
@@ -1,44 +0,0 @@
-// See LICENSE file for licence details
-
-#ifndef PLIC_DRIVER_H
-#define PLIC_DRIVER_H
-
-
-__BEGIN_DECLS
-
-#include "platform.h"
-
-typedef void (*interrupt_function_ptr_t) (void);
-
-typedef struct __clic_instance_t
-{
- uintptr_t hart_addr;
- interrupt_function_ptr_t* vect_table;
- uint32_t num_config_bits;
- uint32_t num_sources;
-} clic_instance_t;
-
-// Note that there are no assertions or bounds checking on these
-// parameter values.
-void clic_init (clic_instance_t * this_clic, uintptr_t hart_addr, interrupt_function_ptr_t* vect_table, interrupt_function_ptr_t default_handler, uint32_t num_irq,uint32_t num_config_bits);
-void clic_install_handler (clic_instance_t * this_clic, uint32_t source, interrupt_function_ptr_t handler);
-void clic_enable_interrupt (clic_instance_t * this_clic, uint32_t source);
-void clic_disable_interrupt (clic_instance_t * this_clic, uint32_t source);
-void clic_set_pending(clic_instance_t * this_clic, uint32_t source);
-void clic_clear_pending(clic_instance_t * this_clic, uint32_t source);
-void clic_set_intcfg (clic_instance_t * this_clic, uint32_t source, uint32_t intcfg);
-uint8_t clic_get_intcfg (clic_instance_t * this_clic, uint32_t source);
-void clic_set_cliccfg (clic_instance_t * this_clic, uint32_t cfg);
-uint8_t clic_get_cliccfg (clic_instance_t * this_clic);
-//sets an interrupt level based encoding of nmbits, nlbits
-uint8_t clic_set_int_level( clic_instance_t * this_clic, uint32_t source, uint8_t level);
-//get an interrupt level based encoding of nmbits, nlbits
-uint8_t clic_get_int_level( clic_instance_t * this_clic, uint32_t source);
-//sets an interrupt priority based encoding of nmbits, nlbits
-uint8_t clic_set_int_priority( clic_instance_t * this_clic, uint32_t source, uint8_t priority);
-//sets an interrupt priority based encoding of nmbits, nlbits
-uint8_t clic_get_int_priority( clic_instance_t * this_clic, uint32_t source);
-
-__END_DECLS
-
-#endif
diff --git a/bsp/drivers/fe300prci/fe300prci_driver.c b/bsp/drivers/fe300prci/fe300prci_driver.c
deleted file mode 100644
index 8eeaafc..0000000
--- a/bsp/drivers/fe300prci/fe300prci_driver.c
+++ /dev/null
@@ -1,252 +0,0 @@
-// See LICENSE file for license details
-
-#include "platform.h"
-
-#ifdef PRCI_CTRL_ADDR
-#include "fe300prci/fe300prci_driver.h"
-#include <unistd.h>
-
-#define rdmcycle(x) { \
- uint32_t lo, hi, hi2; \
- __asm__ __volatile__ ("1:\n\t" \
- "csrr %0, mcycleh\n\t" \
- "csrr %1, mcycle\n\t" \
- "csrr %2, mcycleh\n\t" \
- "bne %0, %2, 1b\n\t" \
- : "=r" (hi), "=r" (lo), "=r" (hi2)) ; \
- *(x) = lo | ((uint64_t) hi << 32); \
- }
-
-uint32_t PRCI_measure_mcycle_freq(uint32_t mtime_ticks, uint32_t mtime_freq)
-{
-
- uint32_t start_mtime = CLINT_REG(CLINT_MTIME);
- uint32_t end_mtime = start_mtime + mtime_ticks + 1;
-
- // Make sure we won't get rollover.
- while (end_mtime < start_mtime){
- start_mtime = CLINT_REG(CLINT_MTIME);
- end_mtime = start_mtime + mtime_ticks + 1;
- }
-
- // Don't start measuring until mtime edge.
- uint32_t tmp = start_mtime;
- do {
- start_mtime = CLINT_REG(CLINT_MTIME);
- } while (start_mtime == tmp);
-
- uint64_t start_mcycle;
- rdmcycle(&start_mcycle);
-
- while (CLINT_REG(CLINT_MTIME) < end_mtime) ;
-
- uint64_t end_mcycle;
- rdmcycle(&end_mcycle);
- uint32_t difference = (uint32_t) (end_mcycle - start_mcycle);
-
- uint64_t freq = ((uint64_t) difference * mtime_freq) / mtime_ticks;
- return (uint32_t) freq & 0xFFFFFFFF;
-
-}
-
-
-void PRCI_use_hfrosc(int div, int trim)
-{
- // Make sure the HFROSC is running at its default setting
- // It is OK to change this even if we are running off of it.
-
- PRCI_REG(PRCI_HFROSCCFG) = (ROSC_DIV(div) | ROSC_TRIM(trim) | ROSC_EN(1));
-
- while ((PRCI_REG(PRCI_HFROSCCFG) & ROSC_RDY(1)) == 0);
-
- PRCI_REG(PRCI_PLLCFG) &= ~PLL_SEL(1);
-}
-
-void PRCI_use_pll(int refsel, int bypass,
- int r, int f, int q, int finaldiv,
- int hfroscdiv, int hfrosctrim)
-{
- // Ensure that we aren't running off the PLL before we mess with it.
- if (PRCI_REG(PRCI_PLLCFG) & PLL_SEL(1)) {
- // Make sure the HFROSC is running at its default setting
- PRCI_use_hfrosc(4, 16);
- }
-
- // Set PLL Source to be HFXOSC if desired.
- uint32_t config_value = 0;
-
- config_value |= PLL_REFSEL(refsel);
-
- if (bypass) {
- // Bypass
- config_value |= PLL_BYPASS(1);
-
- PRCI_REG(PRCI_PLLCFG) = config_value;
-
- // If we don't have an HFXTAL, this doesn't really matter.
- // Set our Final output divide to divide-by-1:
- PRCI_REG(PRCI_PLLDIV) = (PLL_FINAL_DIV_BY_1(1) | PLL_FINAL_DIV(0));
- } else {
-
- // To overclock, use the hfrosc
- if (hfrosctrim >= 0 && hfroscdiv >= 0) {
- PRCI_use_hfrosc(hfroscdiv, hfrosctrim);
- }
-
- // Set DIV Settings for PLL
-
- // (Legal values of f_REF are 6-48MHz)
-
- // Set DIVR to divide-by-2 to get 8MHz frequency
- // (legal values of f_R are 6-12 MHz)
-
- config_value |= PLL_BYPASS(1);
- config_value |= PLL_R(r);
-
- // Set DIVF to get 512Mhz frequncy
- // There is an implied multiply-by-2, 16Mhz.
- // So need to write 32-1
- // (legal values of f_F are 384-768 MHz)
- config_value |= PLL_F(f);
-
- // Set DIVQ to divide-by-2 to get 256 MHz frequency
- // (legal values of f_Q are 50-400Mhz)
- config_value |= PLL_Q(q);
-
- // Set our Final output divide to divide-by-1:
- if (finaldiv == 1){
- PRCI_REG(PRCI_PLLDIV) = (PLL_FINAL_DIV_BY_1(1) | PLL_FINAL_DIV(0));
- } else {
- PRCI_REG(PRCI_PLLDIV) = (PLL_FINAL_DIV(finaldiv-1));
- }
-
- PRCI_REG(PRCI_PLLCFG) = config_value;
-
- // Un-Bypass the PLL.
- PRCI_REG(PRCI_PLLCFG) &= ~PLL_BYPASS(1);
-
- // Wait for PLL Lock
- // Note that the Lock signal can be glitchy.
- // Need to wait 100 us
- // RTC is running at 32kHz.
- // So wait 4 ticks of RTC.
- uint32_t now = CLINT_REG(CLINT_MTIME);
- while (CLINT_REG(CLINT_MTIME) - now < 4) ;
-
- // Now it is safe to check for PLL Lock
- while ((PRCI_REG(PRCI_PLLCFG) & PLL_LOCK(1)) == 0);
-
- }
-
- // Switch over to PLL Clock source
- PRCI_REG(PRCI_PLLCFG) |= PLL_SEL(1);
-
- // If we're running off HFXOSC, turn off the HFROSC to
- // save power.
- if (refsel) {
- PRCI_REG(PRCI_HFROSCCFG) &= ~ROSC_EN(1);
- }
-
-}
-
-void PRCI_use_default_clocks()
-{
- // Turn off the LFROSC
- AON_REG(AON_LFROSC) &= ~ROSC_EN(1);
-
- // Use HFROSC
- PRCI_use_hfrosc(4, 16);
-}
-
-void PRCI_use_hfxosc(uint32_t finaldiv)
-{
-
- PRCI_use_pll(1, // Use HFXTAL
- 1, // Bypass = 1
- 0, // PLL settings don't matter
- 0, // PLL settings don't matter
- 0, // PLL settings don't matter
- finaldiv,
- -1,
- -1);
-}
-
-// This is a generic function, which
-// doesn't span the entire range of HFROSC settings.
-// It only adjusts the trim, which can span a hundred MHz or so.
-// This function does not check the legality of the PLL settings
-// at all, and it is quite possible to configure invalid PLL settings
-// this way.
-// It returns the actual measured CPU frequency.
-
-uint32_t PRCI_set_hfrosctrim_for_f_cpu(uint32_t f_cpu, PRCI_freq_target target )
-{
-
- uint32_t hfrosctrim = 0;
- uint32_t hfroscdiv = 4;
- uint32_t prev_trim = 0;
-
- // In this function we use PLL settings which
- // will give us a 32x multiplier from the output
- // of the HFROSC source to the output of the
- // PLL. We first measure our HFROSC to get the
- // right trim, then finally use it as the PLL source.
- // We should really check here that the f_cpu
- // requested is something in the limit of the PLL. For
- // now that is up to the user.
-
- // This will undershoot for frequencies not divisible by 16.
- uint32_t desired_hfrosc_freq = (f_cpu/ 16);
-
- PRCI_use_hfrosc(hfroscdiv, hfrosctrim);
-
- // Ignore the first run (for icache reasons)
- uint32_t cpu_freq = PRCI_measure_mcycle_freq(3000, RTC_FREQ);
-
- cpu_freq = PRCI_measure_mcycle_freq(3000, RTC_FREQ);
- uint32_t prev_freq = cpu_freq;
-
- while ((cpu_freq < desired_hfrosc_freq) && (hfrosctrim < 0x1F)){
- prev_trim = hfrosctrim;
- prev_freq = cpu_freq;
- hfrosctrim ++;
- PRCI_use_hfrosc(hfroscdiv, hfrosctrim);
- cpu_freq = PRCI_measure_mcycle_freq(3000, RTC_FREQ);
- }
-
- // We couldn't go low enough
- if (prev_freq > desired_hfrosc_freq){
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, prev_trim);
- cpu_freq = PRCI_measure_mcycle_freq(1000, RTC_FREQ);
- return cpu_freq;
- }
-
- // We couldn't go high enough
- if (cpu_freq < desired_hfrosc_freq){
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, prev_trim);
- cpu_freq = PRCI_measure_mcycle_freq(1000, RTC_FREQ);
- return cpu_freq;
- }
-
- // Check for over/undershoot
- switch(target) {
- case(PRCI_FREQ_CLOSEST):
- if ((desired_hfrosc_freq - prev_freq) < (cpu_freq - desired_hfrosc_freq)) {
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, prev_trim);
- } else {
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, hfrosctrim);
- }
- break;
- case(PRCI_FREQ_UNDERSHOOT):
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, prev_trim);
- break;
- default:
- PRCI_use_pll(0, 0, 1, 31, 1, 1, hfroscdiv, hfrosctrim);
- }
-
- cpu_freq = PRCI_measure_mcycle_freq(1000, RTC_FREQ);
- return cpu_freq;
-
-}
-
-#endif
diff --git a/bsp/drivers/fe300prci/fe300prci_driver.h b/bsp/drivers/fe300prci/fe300prci_driver.h
deleted file mode 100644
index 7100f46..0000000
--- a/bsp/drivers/fe300prci/fe300prci_driver.h
+++ /dev/null
@@ -1,79 +0,0 @@
-// See LICENSE file for license details
-
-#ifndef _FE300PRCI_DRIVER_H_
-#define _FE300PRCI_DRIVER_H_
-
-__BEGIN_DECLS
-
-#include <unistd.h>
-
-typedef enum prci_freq_target {
-
- PRCI_FREQ_OVERSHOOT,
- PRCI_FREQ_CLOSEST,
- PRCI_FREQ_UNDERSHOOT
-
-} PRCI_freq_target;
-
-/* Measure and return the approximate frequency of the
- * CPU, as given by measuring the mcycle counter against
- * the mtime ticks.
- */
-uint32_t PRCI_measure_mcycle_freq(uint32_t mtime_ticks, uint32_t mtime_freq);
-
-/* Safely switch over to the HFROSC using the given div
- * and trim settings.
- */
-void PRCI_use_hfrosc(int div, int trim);
-
-/* Safely switch over to the 16MHz HFXOSC,
- * applying the finaldiv clock divider (1 is the lowest
- * legal value).
- */
-void PRCI_use_hfxosc(uint32_t finaldiv);
-
-/* Safely switch over to the PLL using the given
- * settings.
- *
- * Note that not all combinations of the inputs are actually
- * legal, and this function does not check for their
- * legality ("safely" means that this function won't turn off
- * or glitch the clock the CPU is actually running off, but
- * doesn't protect against you making it too fast or slow.)
- */
-
-void PRCI_use_pll(int refsel, int bypass,
- int r, int f, int q, int finaldiv,
- int hfroscdiv, int hfrosctrim);
-
-/* Use the default clocks configured at reset.
- * This is ~16Mhz HFROSC and turns off the LFROSC
- * (on the current FE310 Dev Platforms, an external LFROSC is
- * used as it is more power efficient).
- */
-void PRCI_use_default_clocks();
-
-/* This routine will adjust the HFROSC trim
- * while using HFROSC as the clock source,
- * measure the resulting frequency, then
- * use it as the PLL clock source,
- * in an attempt to get over, under, or close to the
- * requested frequency. It returns the actual measured
- * frequency.
- *
- * Note that the requested frequency must be within the
- * range supported by the PLL so not all values are
- * achievable with this function, and not all
- * are guaranteed to actually work. The PLL
- * is rated higher than the hardware.
- *
- * There is no check on the desired f_cpu frequency, it
- * is up to the user to specify something reasonable.
- */
-
-uint32_t PRCI_set_hfrosctrim_for_f_cpu(uint32_t f_cpu, PRCI_freq_target target);
-
-__END_DECLS
-
-#endif
-
diff --git a/bsp/drivers/plic/plic_driver.c b/bsp/drivers/plic/plic_driver.c
deleted file mode 100644
index b27d7a5..0000000
--- a/bsp/drivers/plic/plic_driver.c
+++ /dev/null
@@ -1,127 +0,0 @@
-// See LICENSE for license details.
-
-#include "sifive/devices/plic.h"
-#include "plic/plic_driver.h"
-#include "platform.h"
-#include "encoding.h"
-#include <string.h>
-
-
-// Note that there are no assertions or bounds checking on these
-// parameter values.
-
-void volatile_memzero(uint8_t * base, unsigned int size)
-{
- volatile uint8_t * ptr;
- for (ptr = base; ptr < (base + size); ptr++){
- *ptr = 0;
- }
-}
-
-void PLIC_init (
- plic_instance_t * this_plic,
- uintptr_t base_addr,
- uint32_t num_sources,
- uint32_t num_priorities
- )
-{
-
- this_plic->base_addr = base_addr;
- this_plic->num_sources = num_sources;
- this_plic->num_priorities = num_priorities;
-
- // Disable all interrupts (don't assume that these registers are reset).
- unsigned long hart_id = read_csr(mhartid);
- volatile_memzero((uint8_t*) (this_plic->base_addr +
- PLIC_ENABLE_OFFSET +
- (hart_id << PLIC_ENABLE_SHIFT_PER_TARGET)),
- (num_sources + 8) / 8);
-
- // Set all priorities to 0 (equal priority -- don't assume that these are reset).
- volatile_memzero ((uint8_t *)(this_plic->base_addr +
- PLIC_PRIORITY_OFFSET),
- (num_sources + 1) << PLIC_PRIORITY_SHIFT_PER_SOURCE);
-
- // Set the threshold to 0.
- volatile plic_threshold* threshold = (plic_threshold*)
- (this_plic->base_addr +
- PLIC_THRESHOLD_OFFSET +
- (hart_id << PLIC_THRESHOLD_SHIFT_PER_TARGET));
-
- *threshold = 0;
-
-}
-
-void PLIC_set_threshold (plic_instance_t * this_plic,
- plic_threshold threshold){
-
- unsigned long hart_id = read_csr(mhartid);
- volatile plic_threshold* threshold_ptr = (plic_threshold*) (this_plic->base_addr +
- PLIC_THRESHOLD_OFFSET +
- (hart_id << PLIC_THRESHOLD_SHIFT_PER_TARGET));
-
- *threshold_ptr = threshold;
-
-}
-
-
-void PLIC_enable_interrupt (plic_instance_t * this_plic, plic_source source){
-
- unsigned long hart_id = read_csr(mhartid);
- volatile uint8_t * current_ptr = (volatile uint8_t *)(this_plic->base_addr +
- PLIC_ENABLE_OFFSET +
- (hart_id << PLIC_ENABLE_SHIFT_PER_TARGET) +
- (source >> 3));
- uint8_t current = *current_ptr;
- current = current | ( 1 << (source & 0x7));
- *current_ptr = current;
-
-}
-
-void PLIC_disable_interrupt (plic_instance_t * this_plic, plic_source source){
-
- unsigned long hart_id = read_csr(mhartid);
- volatile uint8_t * current_ptr = (volatile uint8_t *) (this_plic->base_addr +
- PLIC_ENABLE_OFFSET +
- (hart_id << PLIC_ENABLE_SHIFT_PER_TARGET) +
- (source >> 3));
- uint8_t current = *current_ptr;
- current = current & ~(( 1 << (source & 0x7)));
- *current_ptr = current;
-
-}
-
-void PLIC_set_priority (plic_instance_t * this_plic, plic_source source, plic_priority priority){
-
- if (this_plic->num_priorities > 0) {
- volatile plic_priority * priority_ptr = (volatile plic_priority *)
- (this_plic->base_addr +
- PLIC_PRIORITY_OFFSET +
- (source << PLIC_PRIORITY_SHIFT_PER_SOURCE));
- *priority_ptr = priority;
- }
-}
-
-plic_source PLIC_claim_interrupt(plic_instance_t * this_plic){
-
- unsigned long hart_id = read_csr(mhartid);
-
- volatile plic_source * claim_addr = (volatile plic_source * )
- (this_plic->base_addr +
- PLIC_CLAIM_OFFSET +
- (hart_id << PLIC_CLAIM_SHIFT_PER_TARGET));
-
- return *claim_addr;
-
-}
-
-void PLIC_complete_interrupt(plic_instance_t * this_plic, plic_source source){
-
- unsigned long hart_id = read_csr(mhartid);
- volatile plic_source * claim_addr = (volatile plic_source *) (this_plic->base_addr +
- PLIC_CLAIM_OFFSET +
- (hart_id << PLIC_CLAIM_SHIFT_PER_TARGET));
- *claim_addr = source;
-
-}
-
diff --git a/bsp/drivers/plic/plic_driver.h b/bsp/drivers/plic/plic_driver.h
deleted file mode 100644
index e7d609b..0000000
--- a/bsp/drivers/plic/plic_driver.h
+++ /dev/null
@@ -1,51 +0,0 @@
-// See LICENSE file for licence details
-
-#ifndef PLIC_DRIVER_H
-#define PLIC_DRIVER_H
-
-
-__BEGIN_DECLS
-
-#include "platform.h"
-
-typedef struct __plic_instance_t
-{
- uintptr_t base_addr;
-
- uint32_t num_sources;
- uint32_t num_priorities;
-
-} plic_instance_t;
-
-typedef uint32_t plic_source;
-typedef uint32_t plic_priority;
-typedef uint32_t plic_threshold;
-
-void PLIC_init (
- plic_instance_t * this_plic,
- uintptr_t base_addr,
- uint32_t num_sources,
- uint32_t num_priorities
- );
-
-void PLIC_set_threshold (plic_instance_t * this_plic,
- plic_threshold threshold);
-
-void PLIC_enable_interrupt (plic_instance_t * this_plic,
- plic_source source);
-
-void PLIC_disable_interrupt (plic_instance_t * this_plic,
- plic_source source);
-
-void PLIC_set_priority (plic_instance_t * this_plic,
- plic_source source,
- plic_priority priority);
-
-plic_source PLIC_claim_interrupt(plic_instance_t * this_plic);
-
-void PLIC_complete_interrupt(plic_instance_t * this_plic,
- plic_source source);
-
-__END_DECLS
-
-#endif
diff --git a/bsp/env/common.mk b/bsp/env/common.mk
deleted file mode 100644
index 74939a5..0000000
--- a/bsp/env/common.mk
+++ /dev/null
@@ -1,56 +0,0 @@
-# See LICENSE for license details.
-
-ifndef _SIFIVE_MK_COMMON
-_SIFIVE_MK_COMMON := # defined
-
-.PHONY: all
-all: $(TARGET)
-
-include $(BSP_BASE)/libwrap/libwrap.mk
-
-ENV_DIR = $(BSP_BASE)/env
-PLATFORM_DIR = $(ENV_DIR)/$(BOARD)
-
-ASM_SRCS += $(ENV_DIR)/start.S
-ASM_SRCS += $(ENV_DIR)/entry.S
-C_SRCS += $(PLATFORM_DIR)/init.c
-
-LINKER_SCRIPT := $(PLATFORM_DIR)/$(LINK_TARGET).lds
-
-INCLUDES += -I$(BSP_BASE)/include
-INCLUDES += -I$(BSP_BASE)/drivers/
-INCLUDES += -I$(ENV_DIR)
-INCLUDES += -I$(PLATFORM_DIR)
-
-TOOL_DIR = $(BSP_BASE)/../toolchain/bin
-
-LDFLAGS += -T $(LINKER_SCRIPT) -nostartfiles
-LDFLAGS += -L$(ENV_DIR) --specs=nano.specs
-
-ASM_OBJS := $(ASM_SRCS:.S=.o)
-C_OBJS := $(C_SRCS:.c=.o)
-
-LINK_OBJS += $(ASM_OBJS) $(C_OBJS)
-LINK_DEPS += $(LINKER_SCRIPT)
-
-CLEAN_OBJS += $(TARGET) $(LINK_OBJS)
-
-CFLAGS += -g
-CFLAGS += -march=$(RISCV_ARCH)
-CFLAGS += -mabi=$(RISCV_ABI)
-CFLAGS += -mcmodel=medany
-
-$(TARGET): $(LINK_OBJS) $(LINK_DEPS)
- $(CC) $(CFLAGS) $(INCLUDES) $(LINK_OBJS) -o $@ $(LDFLAGS)
-
-$(ASM_OBJS): %.o: %.S $(HEADERS)
- $(CC) $(CFLAGS) $(INCLUDES) -c -o $@ $<
-
-$(C_OBJS): %.o: %.c $(HEADERS)
- $(CC) $(CFLAGS) $(INCLUDES) -include sys/cdefs.h -c -o $@ $<
-
-.PHONY: clean
-clean:
- rm -f $(CLEAN_OBJS)
-
-endif # _SIFIVE_MK_COMMON
diff --git a/bsp/env/coreip-e2-arty/flash.lds b/bsp/env/coreip-e2-arty/flash.lds
deleted file mode 100644
index 2d5eb01..0000000
--- a/bsp/env/coreip-e2-arty/flash.lds
+++ /dev/null
@@ -1,161 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 64K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 2K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- .rodata :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- } >flash AT>flash :flash
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreip-e2-arty/init.c b/bsp/env/coreip-e2-arty/init.c
deleted file mode 100644
index 3a4c77c..0000000
--- a/bsp/env/coreip-e2-arty/init.c
+++ /dev/null
@@ -1,98 +0,0 @@
-//See LICENSE for license details.
-#include <stdint.h>
-#include <stdio.h>
-#include <unistd.h>
-
-#include "platform.h"
-#include "encoding.h"
-
-#define CPU_FREQ 32000000
-#define XSTR(x) #x
-#define STR(x) XSTR(x)
-
-extern int main(int argc, char** argv);
-
-unsigned long get_cpu_freq()
-{
- return CPU_FREQ;
-}
-
-unsigned long get_timer_freq()
-{
- return get_cpu_freq();
-}
-
-uint64_t get_timer_value()
-{
-#if __riscv_xlen == 32
- while (1) {
- uint32_t hi = read_csr(mcycleh);
- uint32_t lo = read_csr(mcycle);
- if (hi == read_csr(mcycleh))
- return ((uint64_t)hi << 32) | lo;
- }
-#else
- return read_csr(mcycle);
-#endif
-}
-
-static void uart_init(size_t baud_rate)
-{
- UART0_REG(UART_REG_DIV) = (get_cpu_freq() ) / baud_rate - 1;
- UART0_REG(UART_REG_TXCTRL) |= UART_TXEN;
-}
-
-
-typedef void (*interrupt_function_ptr_t) (void);
-interrupt_function_ptr_t localISR[CLIC_NUM_INTERRUPTS] __attribute__((aligned(64)));
-
-
-void trap_entry(void) __attribute__((interrupt, aligned(64)));
-void trap_entry(void)
-{
- unsigned long mcause = read_csr(mcause);
- unsigned long mepc = read_csr(mepc);
- if (mcause & MCAUSE_INT) {
- localISR[mcause & MCAUSE_CAUSE] ();
- } else {
- while(1);
- }
-}
-
-#ifdef CLIC_DIRECT
-#else
-void default_handler(void)__attribute__((interrupt));;
-#endif
-void default_handler(void)
-{
- puts("default handler\n");
- while(1);
-}
-
-void _init()
-{
-#ifndef NO_INIT
- uart_init(115200);
-
- puts("core freq at " STR(CPU_FREQ) " Hz\n");
-
-//initialize vector table
- int i=0;
- while(i<CLIC_NUM_INTERRUPTS) {
- localISR[i++] = default_handler;
- }
-
- write_csr(mtvt, localISR);
-
-#ifdef CLIC_DIRECT
- write_csr(mtvec, ((unsigned long)&trap_entry | MTVEC_CLIC));
-#else
- write_csr(mtvec, ((unsigned long)&trap_entry | MTVEC_CLIC_VECT));
-#endif
-
-#endif
-}
-
-void _fini()
-{
-}
diff --git a/bsp/env/coreip-e2-arty/openocd.cfg b/bsp/env/coreip-e2-arty/openocd.cfg
deleted file mode 120000
index 2f4de8d..0000000
--- a/bsp/env/coreip-e2-arty/openocd.cfg
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/openocd.cfg \ No newline at end of file
diff --git a/bsp/env/coreip-e2-arty/platform.h b/bsp/env/coreip-e2-arty/platform.h
deleted file mode 100644
index 0ce0484..0000000
--- a/bsp/env/coreip-e2-arty/platform.h
+++ /dev/null
@@ -1,98 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PLATFORM_H
-#define _SIFIVE_PLATFORM_H
-
-// Some things missing from the official encoding.h
-
-#if __riscv_xlen == 32
-#define MCAUSE_INT 0x80000000UL
-#define MCAUSE_CAUSE 0x000003FFUL
-#else
-#define MCAUSE_INT 0x8000000000000000UL
-#define MCAUSE_CAUSE 0x00000000000003FFUL
-#endif
-
-#define MTVEC_DIRECT 0X00
-#define MTVEC_VECTORED 0x01
-#define MTVEC_CLIC 0x02
-#define MTVEC_CLIC_VECT 0X03
-
-
-#include "sifive/const.h"
-#include "sifive/devices/gpio.h"
-#include "sifive/devices/clint.h"
-#include "sifive/devices/clic.h"
-#include "sifive/devices/pwm.h"
-#include "sifive/devices/spi.h"
-#include "sifive/devices/uart.h"
-
-/****************************************************************************
- * Platform definitions
- *****************************************************************************/
-
-// Memory map
-#define CLINT_CTRL_ADDR _AC(0x02000000,UL)
-#define CLIC_HART0_ADDR _AC(0x02800000,UL)
-#define GPIO_CTRL_ADDR _AC(0x20002000,UL)
-#define PWM0_CTRL_ADDR _AC(0x20005000,UL)
-#define RAM_MEM_ADDR _AC(0x80000000,UL)
-#define RAM_MEM_SIZE _AC(0x10000,UL)
-#define SPI0_CTRL_ADDR _AC(0x20004000,UL)
-#define SPI0_MEM_ADDR _AC(0x40000000,UL)
-#define SPI0_MEM_SIZE _AC(0x20000000,UL)
-#define TESTBENCH_MEM_ADDR _AC(0x20000000,UL)
-#define TESTBENCH_MEM_SIZE _AC(0x10000000,UL)
-//#define TRAPVEC_TABLE_CTRL_ADDR _AC(0x00001010,UL)
-#define UART0_CTRL_ADDR _AC(0x20000000,UL)
-
-// IOF masks
-
-// Interrupt numbers
-#define RESERVED_INT_BASE 0
-#define UART0_INT_BASE 1
-#define EXTERNAL_INT_BASE 2
-#define SPI0_INT_BASE 6
-#define GPIO_INT_BASE 7
-#define PWM0_INT_BASE 23
-
-// Helper functions
-#define _REG64(p, i) (*(volatile uint64_t *)((p) + (i)))
-#define _REG32(p, i) (*(volatile uint32_t *)((p) + (i)))
-#define _REG16(p, i) (*(volatile uint16_t *)((p) + (i)))
-#define SET_BITS(reg, mask, value) if ((value) == 0) { (reg) &= ~(mask); } else { (reg) |= (mask); }
-#define CLINT_REG(offset) _REG32(CLINT_CTRL_ADDR, offset)
-#define CLIC0_REG(offset) _REG32(CLIC_HART0_ADDR, offset)
-#define CLIC0_REG8(offset) (*(volatile uint8_t *)((CLIC_HART0_ADDR) + (offset)))
-#define GPIO_REG(offset) _REG32(GPIO_CTRL_ADDR, offset)
-#define PWM0_REG(offset) _REG32(PWM0_CTRL_ADDR, offset)
-#define SPI0_REG(offset) _REG32(SPI0_CTRL_ADDR, offset)
-#define UART0_REG(offset) _REG32(UART0_CTRL_ADDR, offset)
-#define CLINT_REG(offset) _REG32(CLINT_CTRL_ADDR, offset)
-#define CLIC0_REG64(offset) _REG64(CLIC_HART0_ADDR, offset)
-#define GPIO_REG64(offset) _REG64(GPIO_CTRL_ADDR, offset)
-#define PWM0_REG64(offset) _REG64(PWM0_CTRL_ADDR, offset)
-#define SPI0_REG64(offset) _REG64(SPI0_CTRL_ADDR, offset)
-#define UART0_REG64(offset) _REG64(UART0_CTRL_ADDR, offset)
-
-// Misc
-
-#define NUM_GPIO 16
-
-#define CLIC_NUM_INTERRUPTS 28 + 16
-
-#ifdef E20
- #define CLIC_CONFIG_BITS 2
-#else
- #define CLIC_CONFIG_BITS 4
-#endif
-
-#define HAS_BOARD_BUTTONS
-
-#include "coreplexip-arty.h"
-
-unsigned long get_cpu_freq(void);
-unsigned long get_timer_freq(void);
-uint64_t get_timer_value(void);
-
-#endif /* _SIFIVE_PLATFORM_H */
diff --git a/bsp/env/coreip-e2-arty/settings.mk b/bsp/env/coreip-e2-arty/settings.mk
deleted file mode 120000
index 2b2a962..0000000
--- a/bsp/env/coreip-e2-arty/settings.mk
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/settings.mk \ No newline at end of file
diff --git a/bsp/env/coreip-e2-arty/tim-split.lds b/bsp/env/coreip-e2-arty/tim-split.lds
deleted file mode 100644
index eab4bd3..0000000
--- a/bsp/env/coreip-e2-arty/tim-split.lds
+++ /dev/null
@@ -1,157 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x80008000, LENGTH = 32K
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 32K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 1K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreip-e2-arty/tim.lds b/bsp/env/coreip-e2-arty/tim.lds
deleted file mode 100644
index 7dfb36b..0000000
--- a/bsp/env/coreip-e2-arty/tim.lds
+++ /dev/null
@@ -1,161 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 64K
-}
-
-PHDRS
-{
- ram PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 1K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >ram AT>ram :ram
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >ram AT>ram :ram
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >ram AT>ram :ram
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- .rodata :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- } >ram AT>ram :ram
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >ram AT>ram :ram
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >ram AT>ram :ram
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >ram AT>ram :ram
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >ram AT>ram :ram
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >ram AT>ram :ram
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >ram AT>ram :ram
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>ram :ram_init
-
- .data :
- {
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>ram :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack :
- {
- . = ALIGN(8);
- . += __stack_size;
- PROVIDE( _sp = . );
- PROVIDE( _heap_end = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreplexip-arty.h b/bsp/env/coreplexip-arty.h
deleted file mode 100644
index eedcaa5..0000000
--- a/bsp/env/coreplexip-arty.h
+++ /dev/null
@@ -1,102 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#define _SIFIVE_COREPLEXIP_ARTY_H
-
-#include <stdint.h>
-
-/****************************************************************************
- * GPIO Connections
- *****************************************************************************/
-
-// These are the GPIO bit offsets for the directly driven
-// RGB LEDs on the Freedom Exx Coreplex IP Evaluation Arty FPGA Dev Kit.
-// Additional RGB LEDs are driven by the 3 PWM outputs.
-
-#define RED_LED_OFFSET 0
-#define GREEN_LED_OFFSET 1
-#define BLUE_LED_OFFSET 2
-
-// Switch 3 is used as a GPIO input. (Switch 0 is used to set
-// the reset vector, the other switches are unused).
-
-#define SW_3_OFFSET 3
-
-// These are the buttons which are mapped as inputs.
-
-#define HAS_BOARD_BUTTONS
-
-#define BUTTON_0_OFFSET 4
-#define BUTTON_1_OFFSET 5
-#define BUTTON_2_OFFSET 6
-#define BUTTON_3_OFFSET 7
-
-// These are the bit offsets for the different GPIO pins
-// mapped onto the PMOD A header.
-
-#define JA_0_OFFSET 8
-#define JA_1_OFFSET 9
-#define JA_2_OFFSET 10
-#define JA_3_OFFSET 11
-#define JA_4_OFFSET 12
-#define JA_5_OFFSET 13
-#define JA_6_OFFSET 14
-#define JA_7_OFFSET 15
-
-// The below gives a mapping between global interrupt
-// sources and their number. Note that on the coreplex
-// deliverable, the io_global_interrupts go directly into
-// the PLIC. The evaluation image on the FPGA mimics a
-// system with peripheral devices which are driving the
-// global interrupt lines.
-// So, on this image, in order to get an interrupt from
-// e.g. pressing BUTTON_0:
-// 1) Steps which are external to the delivery coreplex:
-// a) The corresponding GPIO pin must be configured as in input
-// b) The "interrupt on fall" bit must be set for the GPIO pin
-// 2) Steps which would also need to be performed for the delivery coreplex:
-// a) The corresponding global interrupt, priority, and threshold must be configured in the PLIC.
-// b) The external interrupt bit must be enabled in MSTATUS
-// c) Interrupts must be enabled globally in the core.
-
-// Any of the above GPIO pins can be used as global interrupt
-// sources by adding their offset to the INT_GPIO_BASE.
-// For example, the buttons are shown here:
-
-#define INT_DEVICE_BUTTON_0 (GPIO_INT_BASE + BUTTON_0_OFFSET)
-#define INT_DEVICE_BUTTON_1 (GPIO_INT_BASE + BUTTON_1_OFFSET)
-#define INT_DEVICE_BUTTON_2 (GPIO_INT_BASE + BUTTON_2_OFFSET)
-#define INT_DEVICE_BUTTON_3 (GPIO_INT_BASE + BUTTON_3_OFFSET)
-
-// In addition, the Switches are mapped directly to
-// the PLIC (without going through the GPIO Peripheral).
-
-#define INT_EXT_DEVICE_SW_0 (EXTERNAL_INT_BASE + 0)
-#define INT_EXT_DEVICE_SW_1 (EXTERNAL_INT_BASE + 1)
-#define INT_EXT_DEVICE_SW_2 (EXTERNAL_INT_BASE + 2)
-#define INT_EXT_DEVICE_SW_3 (EXTERNAL_INT_BASE + 3)
-
-// This gives the mapping from inputs to LOCAL interrupts.
-
-#define LOCAL_INT_SW_0 0
-#define LOCAL_INT_SW_1 1
-#define LOCAL_INT_SW_2 2
-#define LOCAL_INT_SW_3 3
-#define LOCAL_INT_BTN_0 4
-#define LOCAL_INT_BTN_1 5
-#define LOCAL_INT_BTN_2 6
-#define LOCAL_INT_BTN_3 7
-#define LOCAL_INT_JA_0 8
-#define LOCAL_INT_JA_1 9
-#define LOCAL_INT_JA_2 10
-#define LOCAL_INT_JA_3 11
-#define LOCAL_INT_JA_4 12
-#define LOCAL_INT_JA_5 13
-#define LOCAL_INT_JA_6 14
-#define LOCAL_INT_JA_7 15
-
-#define RTC_FREQ 32768
-
-void write_hex(int fd, unsigned long int hex);
-
-#endif /* _SIFIVE_COREPLEXIP_ARTY_H */
diff --git a/bsp/env/coreplexip-e31-arty/dhrystone.lds b/bsp/env/coreplexip-e31-arty/dhrystone.lds
deleted file mode 100644
index 8f6527b..0000000
--- a/bsp/env/coreplexip-e31-arty/dhrystone.lds
+++ /dev/null
@@ -1,157 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 1K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreplexip-e31-arty/flash.lds b/bsp/env/coreplexip-e31-arty/flash.lds
deleted file mode 100644
index 590c5b6..0000000
--- a/bsp/env/coreplexip-e31-arty/flash.lds
+++ /dev/null
@@ -1,161 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 2K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- .rodata :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- } >flash AT>flash :flash
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreplexip-e31-arty/init.c b/bsp/env/coreplexip-e31-arty/init.c
deleted file mode 100644
index 1f8b679..0000000
--- a/bsp/env/coreplexip-e31-arty/init.c
+++ /dev/null
@@ -1,122 +0,0 @@
-//See LICENSE for license details.
-#include <stdint.h>
-#include <stdio.h>
-#include <unistd.h>
-
-#include "platform.h"
-#include "encoding.h"
-
-#define CPU_FREQ 65000000
-#define XSTR(x) #x
-#define STR(x) XSTR(x)
-
-#ifndef VECT_IRQ
- #define TRAP_ENTRY trap_entry
-#else
- #define TRAP_ENTRY vtrap_entry
-#endif
-
-extern int main(int argc, char** argv);
-extern void TRAP_ENTRY();
-
-unsigned long get_cpu_freq()
-{
- return CPU_FREQ;
-}
-
-unsigned long get_timer_freq()
-{
- return get_cpu_freq();
-}
-
-uint64_t get_timer_value()
-{
-#if __riscv_xlen == 32
- while (1) {
- uint32_t hi = read_csr(mcycleh);
- uint32_t lo = read_csr(mcycle);
- if (hi == read_csr(mcycleh))
- return ((uint64_t)hi << 32) | lo;
- }
-#else
- return read_csr(mcycle);
-#endif
-}
-
-static void uart_init(size_t baud_rate)
-{
- UART0_REG(UART_REG_DIV) = (get_cpu_freq() / 2) / baud_rate - 1;
- UART0_REG(UART_REG_TXCTRL) |= UART_TXEN;
-}
-
-
-#ifdef USE_PLIC
-extern void handle_m_ext_interrupt();
-#endif
-
-#ifdef USE_M_TIME
-extern void handle_m_time_interrupt();
-#endif
-
-#ifdef USE_LOCAL_ISR
-typedef void (*my_interrupt_function_ptr_t) (void);
-extern my_interrupt_function_ptr_t localISR[];
-#endif
-
-#ifndef VECT_IRQ
-uintptr_t handle_trap(uintptr_t mcause, uintptr_t epc) __attribute__((noinline));
-uintptr_t handle_trap(uintptr_t mcause, uintptr_t epc)
-{
- if (0){
-#ifdef USE_PLIC
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_EXT)) {
- handle_m_ext_interrupt();
-#endif
-#ifdef USE_M_TIME
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_TIMER)){
- handle_m_time_interrupt();
-#endif
-#ifdef USE_LOCAL_ISR
- } else if (mcause & MCAUSE_INT) {
- localISR[mcause & MCAUSE_CAUSE] ();
-#endif
- }
- else {
- write(1, "Unhandled Trap:\n", 16);
- _exit(1 + mcause);
- }
- return epc;
-}
-#endif
-
-#ifdef USE_CLIC
-void trap_entry(void) __attribute__((interrupt("SiFive-CLIC-preemptible"), aligned(64)));
-void trap_entry(void)
-{
- unsigned long mcause = read_csr(mcause);
- unsigned long mepc = read_csr(mepc);
- handle_trap(mcause, mepc);
-}
-#endif
-
-void _init()
-{
- #ifndef NO_INIT
- uart_init(115200);
-
- puts("core freq at " STR(CPU_FREQ) " Hz\n");
-
-#ifdef USE_CLIC
- write_csr(mtvec, ((unsigned long)&trap_entry | MTVEC_CLIC));
-#else
- write_csr(mtvec, ((unsigned long)&TRAP_ENTRY | MTVEC_VECTORED));
-#endif
-
- #endif
-}
-
-void _fini()
-{
-}
diff --git a/bsp/env/coreplexip-e31-arty/openocd.cfg b/bsp/env/coreplexip-e31-arty/openocd.cfg
deleted file mode 100644
index 0481a72..0000000
--- a/bsp/env/coreplexip-e31-arty/openocd.cfg
+++ /dev/null
@@ -1,31 +0,0 @@
-# JTAG adapter setup
-adapter_khz 10000
-
-interface ftdi
-ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H"
-ftdi_vid_pid 0x15ba 0x002a
-
-ftdi_layout_init 0x0808 0x0a1b
-ftdi_layout_signal nSRST -oe 0x0200
-#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100
-ftdi_layout_signal LED -data 0x0800
-
-set _CHIPNAME riscv
-jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001
-
-set _TARGETNAME $_CHIPNAME.cpu
-
-target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME
-$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1
-
-# Un-comment these two flash lines if you have a SPI flash and want to write
-# it.
-flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000
-init
-if {[ info exists pulse_srst]} {
- ftdi_set_signal nSRST 0
- ftdi_set_signal nSRST z
-}
-halt
-#flash protect 0 64 last off
-echo "Ready for Remote Connections"
diff --git a/bsp/env/coreplexip-e31-arty/platform.h b/bsp/env/coreplexip-e31-arty/platform.h
deleted file mode 100644
index 6fa79ea..0000000
--- a/bsp/env/coreplexip-e31-arty/platform.h
+++ /dev/null
@@ -1,100 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PLATFORM_H
-#define _SIFIVE_PLATFORM_H
-
-// Some things missing from the official encoding.h
-
-#if __riscv_xlen == 32
-#define MCAUSE_INT 0x80000000UL
-#define MCAUSE_CAUSE 0x000003FFUL
-#else
-#define MCAUSE_INT 0x8000000000000000UL
-#define MCAUSE_CAUSE 0x00000000000003FFUL
-#endif
-
-#ifdef VECT_IRQ
- #define MTVEC_VECTORED 0x01
-#else
- #define MTVEC_VECTORED 0x00
-#endif
-#define MTVEC_CLIC 0x02
-#define IRQ_M_LOCAL 16
-#define MIP_MLIP(x) (1 << (IRQ_M_LOCAL + x))
-
-#include "sifive/const.h"
-#include "sifive/devices/clint.h"
-#include "sifive/devices/gpio.h"
-#include "sifive/devices/plic.h"
-#include "sifive/devices/pwm.h"
-#include "sifive/devices/spi.h"
-#include "sifive/devices/uart.h"
-
-/****************************************************************************
- * Platform definitions
- *****************************************************************************/
-
-// Memory map
-#define CLINT_CTRL_ADDR _AC(0x02000000,UL)
-#define GPIO_CTRL_ADDR _AC(0x20002000,UL)
-#define PLIC_CTRL_ADDR _AC(0x0C000000,UL)
-#define PWM0_CTRL_ADDR _AC(0x20005000,UL)
-#define RAM_MEM_ADDR _AC(0x80000000,UL)
-#define RAM_MEM_SIZE _AC(0x10000,UL)
-#define SPI0_CTRL_ADDR _AC(0x20004000,UL)
-#define SPI0_MEM_ADDR _AC(0x40000000,UL)
-#define SPI0_MEM_SIZE _AC(0x20000000,UL)
-#define TESTBENCH_MEM_ADDR _AC(0x20000000,UL)
-#define TESTBENCH_MEM_SIZE _AC(0x10000000,UL)
-#define TRAPVEC_TABLE_CTRL_ADDR _AC(0x00001010,UL)
-#define UART0_CTRL_ADDR _AC(0x20000000,UL)
-
-// IOF masks
-
-// Interrupt numbers
-#define RESERVED_INT_BASE 0
-#define UART0_INT_BASE 1
-#define EXTERNAL_INT_BASE 2
-#define SPI0_INT_BASE 6
-#define GPIO_INT_BASE 7
-#define PWM0_INT_BASE 23
-
-// Helper functions
-#define _REG64(p, i) (*(volatile uint64_t *)((p) + (i)))
-#define _REG32(p, i) (*(volatile uint32_t *)((p) + (i)))
-#define _REG16(p, i) (*(volatile uint16_t *)((p) + (i)))
-// Bulk set bits in `reg` to either 0 or 1.
-// E.g. SET_BITS(MY_REG, 0x00000007, 0) would generate MY_REG &= ~0x7
-// E.g. SET_BITS(MY_REG, 0x00000007, 1) would generate MY_REG |= 0x7
-#define SET_BITS(reg, mask, value) if ((value) == 0) { (reg) &= ~(mask); } else { (reg) |= (mask); }
-#define CLINT_REG(offset) _REG32(CLINT_CTRL_ADDR, offset)
-#define GPIO_REG(offset) _REG32(GPIO_CTRL_ADDR, offset)
-#define PLIC_REG(offset) _REG32(PLIC_CTRL_ADDR, offset)
-#define PWM0_REG(offset) _REG32(PWM0_CTRL_ADDR, offset)
-#define SPI0_REG(offset) _REG32(SPI0_CTRL_ADDR, offset)
-#define TRAPVEC_TABLE_REG(offset) _REG32(TRAPVEC_TABLE_CTRL_ADDR, offset)
-#define UART0_REG(offset) _REG32(UART0_CTRL_ADDR, offset)
-#define CLINT_REG64(offset) _REG64(CLINT_CTRL_ADDR, offset)
-#define GPIO_REG64(offset) _REG64(GPIO_CTRL_ADDR, offset)
-#define PLIC_REG64(offset) _REG64(PLIC_CTRL_ADDR, offset)
-#define PWM0_REG64(offset) _REG64(PWM0_CTRL_ADDR, offset)
-#define SPI0_REG64(offset) _REG64(SPI0_CTRL_ADDR, offset)
-#define TRAPVEC_TABLE_REG64(offset) _REG64(TRAPVEC_TABLE_CTRL_ADDR, offset)
-#define UART0_REG64(offset) _REG64(UART0_CTRL_ADDR, offset)
-
-// Misc
-
-#define NUM_GPIO 16
-
-#define PLIC_NUM_INTERRUPTS 28
-#define PLIC_NUM_PRIORITIES 7
-
-#define HAS_BOARD_BUTTONS
-
-#include "coreplexip-arty.h"
-
-unsigned long get_cpu_freq(void);
-unsigned long get_timer_freq(void);
-uint64_t get_timer_value(void);
-
-#endif /* _SIFIVE_PLATFORM_H */
diff --git a/bsp/env/coreplexip-e31-arty/scratchpad.lds b/bsp/env/coreplexip-e31-arty/scratchpad.lds
deleted file mode 100644
index 7887c13..0000000
--- a/bsp/env/coreplexip-e31-arty/scratchpad.lds
+++ /dev/null
@@ -1,161 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K
-}
-
-PHDRS
-{
- ram PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 1K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >ram AT>ram :ram
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >ram AT>ram :ram
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >ram AT>ram :ram
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- .rodata :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- } >ram AT>ram :ram
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >ram AT>ram :ram
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >ram AT>ram :ram
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >ram AT>ram :ram
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >ram AT>ram :ram
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >ram AT>ram :ram
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >ram AT>ram :ram
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>ram :ram_init
-
- .data :
- {
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>ram :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack :
- {
- . = ALIGN(8);
- . += __stack_size;
- PROVIDE( _sp = . );
- PROVIDE( _heap_end = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/coreplexip-e31-arty/settings.mk b/bsp/env/coreplexip-e31-arty/settings.mk
deleted file mode 100644
index 230fccc..0000000
--- a/bsp/env/coreplexip-e31-arty/settings.mk
+++ /dev/null
@@ -1,3 +0,0 @@
-# Describes the CPU on this board to the rest of the SDK.
-RISCV_ARCH := rv32imac
-RISCV_ABI := ilp32
diff --git a/bsp/env/coreplexip-e51-arty/dhrystone.lds b/bsp/env/coreplexip-e51-arty/dhrystone.lds
deleted file mode 120000
index 8459e13..0000000
--- a/bsp/env/coreplexip-e51-arty/dhrystone.lds
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/dhrystone.lds \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/flash.lds b/bsp/env/coreplexip-e51-arty/flash.lds
deleted file mode 120000
index 54c1026..0000000
--- a/bsp/env/coreplexip-e51-arty/flash.lds
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/flash.lds \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/init.c b/bsp/env/coreplexip-e51-arty/init.c
deleted file mode 120000
index de048a9..0000000
--- a/bsp/env/coreplexip-e51-arty/init.c
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/init.c \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/openocd.cfg b/bsp/env/coreplexip-e51-arty/openocd.cfg
deleted file mode 120000
index 2f4de8d..0000000
--- a/bsp/env/coreplexip-e51-arty/openocd.cfg
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/openocd.cfg \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/platform.h b/bsp/env/coreplexip-e51-arty/platform.h
deleted file mode 120000
index 311ca36..0000000
--- a/bsp/env/coreplexip-e51-arty/platform.h
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/platform.h \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/scratchpad.lds b/bsp/env/coreplexip-e51-arty/scratchpad.lds
deleted file mode 120000
index 7fbe10a..0000000
--- a/bsp/env/coreplexip-e51-arty/scratchpad.lds
+++ /dev/null
@@ -1 +0,0 @@
-../coreplexip-e31-arty/scratchpad.lds \ No newline at end of file
diff --git a/bsp/env/coreplexip-e51-arty/settings.mk b/bsp/env/coreplexip-e51-arty/settings.mk
deleted file mode 100644
index 96aea84..0000000
--- a/bsp/env/coreplexip-e51-arty/settings.mk
+++ /dev/null
@@ -1,3 +0,0 @@
-# Describes the CPU on this board to the rest of the SDK.
-RISCV_ARCH := rv64imac
-RISCV_ABI := lp64
diff --git a/bsp/env/encoding.h b/bsp/env/encoding.h
deleted file mode 100644
index 35e0f9f..0000000
--- a/bsp/env/encoding.h
+++ /dev/null
@@ -1,1313 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef RISCV_CSR_ENCODING_H
-#define RISCV_CSR_ENCODING_H
-
-#define MSTATUS_UIE 0x00000001
-#define MSTATUS_SIE 0x00000002
-#define MSTATUS_HIE 0x00000004
-#define MSTATUS_MIE 0x00000008
-#define MSTATUS_UPIE 0x00000010
-#define MSTATUS_SPIE 0x00000020
-#define MSTATUS_HPIE 0x00000040
-#define MSTATUS_MPIE 0x00000080
-#define MSTATUS_SPP 0x00000100
-#define MSTATUS_HPP 0x00000600
-#define MSTATUS_MPP 0x00001800
-#define MSTATUS_FS 0x00006000
-#define MSTATUS_XS 0x00018000
-#define MSTATUS_MPRV 0x00020000
-#define MSTATUS_PUM 0x00040000
-#define MSTATUS_MXR 0x00080000
-#define MSTATUS_VM 0x1F000000
-#define MSTATUS32_SD 0x80000000
-#define MSTATUS64_SD 0x8000000000000000
-
-#define SSTATUS_UIE 0x00000001
-#define SSTATUS_SIE 0x00000002
-#define SSTATUS_UPIE 0x00000010
-#define SSTATUS_SPIE 0x00000020
-#define SSTATUS_SPP 0x00000100
-#define SSTATUS_FS 0x00006000
-#define SSTATUS_XS 0x00018000
-#define SSTATUS_PUM 0x00040000
-#define SSTATUS32_SD 0x80000000
-#define SSTATUS64_SD 0x8000000000000000
-
-#define DCSR_XDEBUGVER (3U<<30)
-#define DCSR_NDRESET (1<<29)
-#define DCSR_FULLRESET (1<<28)
-#define DCSR_EBREAKM (1<<15)
-#define DCSR_EBREAKH (1<<14)
-#define DCSR_EBREAKS (1<<13)
-#define DCSR_EBREAKU (1<<12)
-#define DCSR_STOPCYCLE (1<<10)
-#define DCSR_STOPTIME (1<<9)
-#define DCSR_CAUSE (7<<6)
-#define DCSR_DEBUGINT (1<<5)
-#define DCSR_HALT (1<<3)
-#define DCSR_STEP (1<<2)
-#define DCSR_PRV (3<<0)
-
-#define DCSR_CAUSE_NONE 0
-#define DCSR_CAUSE_SWBP 1
-#define DCSR_CAUSE_HWBP 2
-#define DCSR_CAUSE_DEBUGINT 3
-#define DCSR_CAUSE_STEP 4
-#define DCSR_CAUSE_HALT 5
-
-#define MCONTROL_TYPE(xlen) (0xfULL<<((xlen)-4))
-#define MCONTROL_DMODE(xlen) (1ULL<<((xlen)-5))
-#define MCONTROL_MASKMAX(xlen) (0x3fULL<<((xlen)-11))
-
-#define MCONTROL_SELECT (1<<19)
-#define MCONTROL_TIMING (1<<18)
-#define MCONTROL_ACTION (0x3f<<12)
-#define MCONTROL_CHAIN (1<<11)
-#define MCONTROL_MATCH (0xf<<7)
-#define MCONTROL_M (1<<6)
-#define MCONTROL_H (1<<5)
-#define MCONTROL_S (1<<4)
-#define MCONTROL_U (1<<3)
-#define MCONTROL_EXECUTE (1<<2)
-#define MCONTROL_STORE (1<<1)
-#define MCONTROL_LOAD (1<<0)
-
-#define MCONTROL_TYPE_NONE 0
-#define MCONTROL_TYPE_MATCH 2
-
-#define MCONTROL_ACTION_DEBUG_EXCEPTION 0
-#define MCONTROL_ACTION_DEBUG_MODE 1
-#define MCONTROL_ACTION_TRACE_START 2
-#define MCONTROL_ACTION_TRACE_STOP 3
-#define MCONTROL_ACTION_TRACE_EMIT 4
-
-#define MCONTROL_MATCH_EQUAL 0
-#define MCONTROL_MATCH_NAPOT 1
-#define MCONTROL_MATCH_GE 2
-#define MCONTROL_MATCH_LT 3
-#define MCONTROL_MATCH_MASK_LOW 4
-#define MCONTROL_MATCH_MASK_HIGH 5
-
-#define MIP_SSIP (1 << IRQ_S_SOFT)
-#define MIP_HSIP (1 << IRQ_H_SOFT)
-#define MIP_MSIP (1 << IRQ_M_SOFT)
-#define MIP_STIP (1 << IRQ_S_TIMER)
-#define MIP_HTIP (1 << IRQ_H_TIMER)
-#define MIP_MTIP (1 << IRQ_M_TIMER)
-#define MIP_SEIP (1 << IRQ_S_EXT)
-#define MIP_HEIP (1 << IRQ_H_EXT)
-#define MIP_MEIP (1 << IRQ_M_EXT)
-
-#define SIP_SSIP MIP_SSIP
-#define SIP_STIP MIP_STIP
-
-#define PRV_U 0
-#define PRV_S 1
-#define PRV_H 2
-#define PRV_M 3
-
-#define VM_MBARE 0
-#define VM_MBB 1
-#define VM_MBBID 2
-#define VM_SV32 8
-#define VM_SV39 9
-#define VM_SV48 10
-
-#define IRQ_S_SOFT 1
-#define IRQ_H_SOFT 2
-#define IRQ_M_SOFT 3
-#define IRQ_S_TIMER 5
-#define IRQ_H_TIMER 6
-#define IRQ_M_TIMER 7
-#define IRQ_S_EXT 9
-#define IRQ_H_EXT 10
-#define IRQ_M_EXT 11
-#define IRQ_COP 12
-#define IRQ_HOST 13
-
-#define DEFAULT_RSTVEC 0x00001000
-#define DEFAULT_NMIVEC 0x00001004
-#define DEFAULT_MTVEC 0x00001010
-#define CONFIG_STRING_ADDR 0x0000100C
-#define EXT_IO_BASE 0x40000000
-#define DRAM_BASE 0x80000000
-
-// page table entry (PTE) fields
-#define PTE_V 0x001 // Valid
-#define PTE_R 0x002 // Read
-#define PTE_W 0x004 // Write
-#define PTE_X 0x008 // Execute
-#define PTE_U 0x010 // User
-#define PTE_G 0x020 // Global
-#define PTE_A 0x040 // Accessed
-#define PTE_D 0x080 // Dirty
-#define PTE_SOFT 0x300 // Reserved for Software
-
-#define PTE_PPN_SHIFT 10
-
-#define PTE_TABLE(PTE) (((PTE) & (PTE_V | PTE_R | PTE_W | PTE_X)) == PTE_V)
-
-#ifdef __riscv
-
-#ifdef __riscv64
-# define MSTATUS_SD MSTATUS64_SD
-# define SSTATUS_SD SSTATUS64_SD
-# define RISCV_PGLEVEL_BITS 9
-#else
-# define MSTATUS_SD MSTATUS32_SD
-# define SSTATUS_SD SSTATUS32_SD
-# define RISCV_PGLEVEL_BITS 10
-#endif
-#define RISCV_PGSHIFT 12
-#define RISCV_PGSIZE (1 << RISCV_PGSHIFT)
-
-#ifndef __ASSEMBLER__
-
-#ifdef __GNUC__
-
-#define read_csr(reg) ({ unsigned long __tmp; \
- asm volatile ("csrr %0, " #reg : "=r"(__tmp)); \
- __tmp; })
-
-#define write_csr(reg, val) ({ \
- if (__builtin_constant_p(val) && (unsigned long)(val) < 32) \
- asm volatile ("csrw " #reg ", %0" :: "i"(val)); \
- else \
- asm volatile ("csrw " #reg ", %0" :: "r"(val)); })
-
-#define swap_csr(reg, val) ({ unsigned long __tmp; \
- if (__builtin_constant_p(val) && (unsigned long)(val) < 32) \
- asm volatile ("csrrw %0, " #reg ", %1" : "=r"(__tmp) : "i"(val)); \
- else \
- asm volatile ("csrrw %0, " #reg ", %1" : "=r"(__tmp) : "r"(val)); \
- __tmp; })
-
-#define set_csr(reg, bit) ({ unsigned long __tmp; \
- if (__builtin_constant_p(bit) && (unsigned long)(bit) < 32) \
- asm volatile ("csrrs %0, " #reg ", %1" : "=r"(__tmp) : "i"(bit)); \
- else \
- asm volatile ("csrrs %0, " #reg ", %1" : "=r"(__tmp) : "r"(bit)); \
- __tmp; })
-
-#define clear_csr(reg, bit) ({ unsigned long __tmp; \
- if (__builtin_constant_p(bit) && (unsigned long)(bit) < 32) \
- asm volatile ("csrrc %0, " #reg ", %1" : "=r"(__tmp) : "i"(bit)); \
- else \
- asm volatile ("csrrc %0, " #reg ", %1" : "=r"(__tmp) : "r"(bit)); \
- __tmp; })
-
-#define rdtime() read_csr(time)
-#define rdcycle() read_csr(cycle)
-#define rdinstret() read_csr(instret)
-
-#endif
-
-#endif
-
-#endif
-
-#endif
-/* Automatically generated by parse-opcodes */
-#ifndef RISCV_ENCODING_H
-#define RISCV_ENCODING_H
-#define MATCH_BEQ 0x63
-#define MASK_BEQ 0x707f
-#define MATCH_BNE 0x1063
-#define MASK_BNE 0x707f
-#define MATCH_BLT 0x4063
-#define MASK_BLT 0x707f
-#define MATCH_BGE 0x5063
-#define MASK_BGE 0x707f
-#define MATCH_BLTU 0x6063
-#define MASK_BLTU 0x707f
-#define MATCH_BGEU 0x7063
-#define MASK_BGEU 0x707f
-#define MATCH_JALR 0x67
-#define MASK_JALR 0x707f
-#define MATCH_JAL 0x6f
-#define MASK_JAL 0x7f
-#define MATCH_LUI 0x37
-#define MASK_LUI 0x7f
-#define MATCH_AUIPC 0x17
-#define MASK_AUIPC 0x7f
-#define MATCH_ADDI 0x13
-#define MASK_ADDI 0x707f
-#define MATCH_SLLI 0x1013
-#define MASK_SLLI 0xfc00707f
-#define MATCH_SLTI 0x2013
-#define MASK_SLTI 0x707f
-#define MATCH_SLTIU 0x3013
-#define MASK_SLTIU 0x707f
-#define MATCH_XORI 0x4013
-#define MASK_XORI 0x707f
-#define MATCH_SRLI 0x5013
-#define MASK_SRLI 0xfc00707f
-#define MATCH_SRAI 0x40005013
-#define MASK_SRAI 0xfc00707f
-#define MATCH_ORI 0x6013
-#define MASK_ORI 0x707f
-#define MATCH_ANDI 0x7013
-#define MASK_ANDI 0x707f
-#define MATCH_ADD 0x33
-#define MASK_ADD 0xfe00707f
-#define MATCH_SUB 0x40000033
-#define MASK_SUB 0xfe00707f
-#define MATCH_SLL 0x1033
-#define MASK_SLL 0xfe00707f
-#define MATCH_SLT 0x2033
-#define MASK_SLT 0xfe00707f
-#define MATCH_SLTU 0x3033
-#define MASK_SLTU 0xfe00707f
-#define MATCH_XOR 0x4033
-#define MASK_XOR 0xfe00707f
-#define MATCH_SRL 0x5033
-#define MASK_SRL 0xfe00707f
-#define MATCH_SRA 0x40005033
-#define MASK_SRA 0xfe00707f
-#define MATCH_OR 0x6033
-#define MASK_OR 0xfe00707f
-#define MATCH_AND 0x7033
-#define MASK_AND 0xfe00707f
-#define MATCH_ADDIW 0x1b
-#define MASK_ADDIW 0x707f
-#define MATCH_SLLIW 0x101b
-#define MASK_SLLIW 0xfe00707f
-#define MATCH_SRLIW 0x501b
-#define MASK_SRLIW 0xfe00707f
-#define MATCH_SRAIW 0x4000501b
-#define MASK_SRAIW 0xfe00707f
-#define MATCH_ADDW 0x3b
-#define MASK_ADDW 0xfe00707f
-#define MATCH_SUBW 0x4000003b
-#define MASK_SUBW 0xfe00707f
-#define MATCH_SLLW 0x103b
-#define MASK_SLLW 0xfe00707f
-#define MATCH_SRLW 0x503b
-#define MASK_SRLW 0xfe00707f
-#define MATCH_SRAW 0x4000503b
-#define MASK_SRAW 0xfe00707f
-#define MATCH_LB 0x3
-#define MASK_LB 0x707f
-#define MATCH_LH 0x1003
-#define MASK_LH 0x707f
-#define MATCH_LW 0x2003
-#define MASK_LW 0x707f
-#define MATCH_LD 0x3003
-#define MASK_LD 0x707f
-#define MATCH_LBU 0x4003
-#define MASK_LBU 0x707f
-#define MATCH_LHU 0x5003
-#define MASK_LHU 0x707f
-#define MATCH_LWU 0x6003
-#define MASK_LWU 0x707f
-#define MATCH_SB 0x23
-#define MASK_SB 0x707f
-#define MATCH_SH 0x1023
-#define MASK_SH 0x707f
-#define MATCH_SW 0x2023
-#define MASK_SW 0x707f
-#define MATCH_SD 0x3023
-#define MASK_SD 0x707f
-#define MATCH_FENCE 0xf
-#define MASK_FENCE 0x707f
-#define MATCH_FENCE_I 0x100f
-#define MASK_FENCE_I 0x707f
-#define MATCH_MUL 0x2000033
-#define MASK_MUL 0xfe00707f
-#define MATCH_MULH 0x2001033
-#define MASK_MULH 0xfe00707f
-#define MATCH_MULHSU 0x2002033
-#define MASK_MULHSU 0xfe00707f
-#define MATCH_MULHU 0x2003033
-#define MASK_MULHU 0xfe00707f
-#define MATCH_DIV 0x2004033
-#define MASK_DIV 0xfe00707f
-#define MATCH_DIVU 0x2005033
-#define MASK_DIVU 0xfe00707f
-#define MATCH_REM 0x2006033
-#define MASK_REM 0xfe00707f
-#define MATCH_REMU 0x2007033
-#define MASK_REMU 0xfe00707f
-#define MATCH_MULW 0x200003b
-#define MASK_MULW 0xfe00707f
-#define MATCH_DIVW 0x200403b
-#define MASK_DIVW 0xfe00707f
-#define MATCH_DIVUW 0x200503b
-#define MASK_DIVUW 0xfe00707f
-#define MATCH_REMW 0x200603b
-#define MASK_REMW 0xfe00707f
-#define MATCH_REMUW 0x200703b
-#define MASK_REMUW 0xfe00707f
-#define MATCH_AMOADD_W 0x202f
-#define MASK_AMOADD_W 0xf800707f
-#define MATCH_AMOXOR_W 0x2000202f
-#define MASK_AMOXOR_W 0xf800707f
-#define MATCH_AMOOR_W 0x4000202f
-#define MASK_AMOOR_W 0xf800707f
-#define MATCH_AMOAND_W 0x6000202f
-#define MASK_AMOAND_W 0xf800707f
-#define MATCH_AMOMIN_W 0x8000202f
-#define MASK_AMOMIN_W 0xf800707f
-#define MATCH_AMOMAX_W 0xa000202f
-#define MASK_AMOMAX_W 0xf800707f
-#define MATCH_AMOMINU_W 0xc000202f
-#define MASK_AMOMINU_W 0xf800707f
-#define MATCH_AMOMAXU_W 0xe000202f
-#define MASK_AMOMAXU_W 0xf800707f
-#define MATCH_AMOSWAP_W 0x800202f
-#define MASK_AMOSWAP_W 0xf800707f
-#define MATCH_LR_W 0x1000202f
-#define MASK_LR_W 0xf9f0707f
-#define MATCH_SC_W 0x1800202f
-#define MASK_SC_W 0xf800707f
-#define MATCH_AMOADD_D 0x302f
-#define MASK_AMOADD_D 0xf800707f
-#define MATCH_AMOXOR_D 0x2000302f
-#define MASK_AMOXOR_D 0xf800707f
-#define MATCH_AMOOR_D 0x4000302f
-#define MASK_AMOOR_D 0xf800707f
-#define MATCH_AMOAND_D 0x6000302f
-#define MASK_AMOAND_D 0xf800707f
-#define MATCH_AMOMIN_D 0x8000302f
-#define MASK_AMOMIN_D 0xf800707f
-#define MATCH_AMOMAX_D 0xa000302f
-#define MASK_AMOMAX_D 0xf800707f
-#define MATCH_AMOMINU_D 0xc000302f
-#define MASK_AMOMINU_D 0xf800707f
-#define MATCH_AMOMAXU_D 0xe000302f
-#define MASK_AMOMAXU_D 0xf800707f
-#define MATCH_AMOSWAP_D 0x800302f
-#define MASK_AMOSWAP_D 0xf800707f
-#define MATCH_LR_D 0x1000302f
-#define MASK_LR_D 0xf9f0707f
-#define MATCH_SC_D 0x1800302f
-#define MASK_SC_D 0xf800707f
-#define MATCH_ECALL 0x73
-#define MASK_ECALL 0xffffffff
-#define MATCH_EBREAK 0x100073
-#define MASK_EBREAK 0xffffffff
-#define MATCH_URET 0x200073
-#define MASK_URET 0xffffffff
-#define MATCH_SRET 0x10200073
-#define MASK_SRET 0xffffffff
-#define MATCH_HRET 0x20200073
-#define MASK_HRET 0xffffffff
-#define MATCH_MRET 0x30200073
-#define MASK_MRET 0xffffffff
-#define MATCH_DRET 0x7b200073
-#define MASK_DRET 0xffffffff
-#define MATCH_SFENCE_VM 0x10400073
-#define MASK_SFENCE_VM 0xfff07fff
-#define MATCH_WFI 0x10500073
-#define MASK_WFI 0xffffffff
-#define MATCH_CSRRW 0x1073
-#define MASK_CSRRW 0x707f
-#define MATCH_CSRRS 0x2073
-#define MASK_CSRRS 0x707f
-#define MATCH_CSRRC 0x3073
-#define MASK_CSRRC 0x707f
-#define MATCH_CSRRWI 0x5073
-#define MASK_CSRRWI 0x707f
-#define MATCH_CSRRSI 0x6073
-#define MASK_CSRRSI 0x707f
-#define MATCH_CSRRCI 0x7073
-#define MASK_CSRRCI 0x707f
-#define MATCH_FADD_S 0x53
-#define MASK_FADD_S 0xfe00007f
-#define MATCH_FSUB_S 0x8000053
-#define MASK_FSUB_S 0xfe00007f
-#define MATCH_FMUL_S 0x10000053
-#define MASK_FMUL_S 0xfe00007f
-#define MATCH_FDIV_S 0x18000053
-#define MASK_FDIV_S 0xfe00007f
-#define MATCH_FSGNJ_S 0x20000053
-#define MASK_FSGNJ_S 0xfe00707f
-#define MATCH_FSGNJN_S 0x20001053
-#define MASK_FSGNJN_S 0xfe00707f
-#define MATCH_FSGNJX_S 0x20002053
-#define MASK_FSGNJX_S 0xfe00707f
-#define MATCH_FMIN_S 0x28000053
-#define MASK_FMIN_S 0xfe00707f
-#define MATCH_FMAX_S 0x28001053
-#define MASK_FMAX_S 0xfe00707f
-#define MATCH_FSQRT_S 0x58000053
-#define MASK_FSQRT_S 0xfff0007f
-#define MATCH_FADD_D 0x2000053
-#define MASK_FADD_D 0xfe00007f
-#define MATCH_FSUB_D 0xa000053
-#define MASK_FSUB_D 0xfe00007f
-#define MATCH_FMUL_D 0x12000053
-#define MASK_FMUL_D 0xfe00007f
-#define MATCH_FDIV_D 0x1a000053
-#define MASK_FDIV_D 0xfe00007f
-#define MATCH_FSGNJ_D 0x22000053
-#define MASK_FSGNJ_D 0xfe00707f
-#define MATCH_FSGNJN_D 0x22001053
-#define MASK_FSGNJN_D 0xfe00707f
-#define MATCH_FSGNJX_D 0x22002053
-#define MASK_FSGNJX_D 0xfe00707f
-#define MATCH_FMIN_D 0x2a000053
-#define MASK_FMIN_D 0xfe00707f
-#define MATCH_FMAX_D 0x2a001053
-#define MASK_FMAX_D 0xfe00707f
-#define MATCH_FCVT_S_D 0x40100053
-#define MASK_FCVT_S_D 0xfff0007f
-#define MATCH_FCVT_D_S 0x42000053
-#define MASK_FCVT_D_S 0xfff0007f
-#define MATCH_FSQRT_D 0x5a000053
-#define MASK_FSQRT_D 0xfff0007f
-#define MATCH_FLE_S 0xa0000053
-#define MASK_FLE_S 0xfe00707f
-#define MATCH_FLT_S 0xa0001053
-#define MASK_FLT_S 0xfe00707f
-#define MATCH_FEQ_S 0xa0002053
-#define MASK_FEQ_S 0xfe00707f
-#define MATCH_FLE_D 0xa2000053
-#define MASK_FLE_D 0xfe00707f
-#define MATCH_FLT_D 0xa2001053
-#define MASK_FLT_D 0xfe00707f
-#define MATCH_FEQ_D 0xa2002053
-#define MASK_FEQ_D 0xfe00707f
-#define MATCH_FCVT_W_S 0xc0000053
-#define MASK_FCVT_W_S 0xfff0007f
-#define MATCH_FCVT_WU_S 0xc0100053
-#define MASK_FCVT_WU_S 0xfff0007f
-#define MATCH_FCVT_L_S 0xc0200053
-#define MASK_FCVT_L_S 0xfff0007f
-#define MATCH_FCVT_LU_S 0xc0300053
-#define MASK_FCVT_LU_S 0xfff0007f
-#define MATCH_FMV_X_S 0xe0000053
-#define MASK_FMV_X_S 0xfff0707f
-#define MATCH_FCLASS_S 0xe0001053
-#define MASK_FCLASS_S 0xfff0707f
-#define MATCH_FCVT_W_D 0xc2000053
-#define MASK_FCVT_W_D 0xfff0007f
-#define MATCH_FCVT_WU_D 0xc2100053
-#define MASK_FCVT_WU_D 0xfff0007f
-#define MATCH_FCVT_L_D 0xc2200053
-#define MASK_FCVT_L_D 0xfff0007f
-#define MATCH_FCVT_LU_D 0xc2300053
-#define MASK_FCVT_LU_D 0xfff0007f
-#define MATCH_FMV_X_D 0xe2000053
-#define MASK_FMV_X_D 0xfff0707f
-#define MATCH_FCLASS_D 0xe2001053
-#define MASK_FCLASS_D 0xfff0707f
-#define MATCH_FCVT_S_W 0xd0000053
-#define MASK_FCVT_S_W 0xfff0007f
-#define MATCH_FCVT_S_WU 0xd0100053
-#define MASK_FCVT_S_WU 0xfff0007f
-#define MATCH_FCVT_S_L 0xd0200053
-#define MASK_FCVT_S_L 0xfff0007f
-#define MATCH_FCVT_S_LU 0xd0300053
-#define MASK_FCVT_S_LU 0xfff0007f
-#define MATCH_FMV_S_X 0xf0000053
-#define MASK_FMV_S_X 0xfff0707f
-#define MATCH_FCVT_D_W 0xd2000053
-#define MASK_FCVT_D_W 0xfff0007f
-#define MATCH_FCVT_D_WU 0xd2100053
-#define MASK_FCVT_D_WU 0xfff0007f
-#define MATCH_FCVT_D_L 0xd2200053
-#define MASK_FCVT_D_L 0xfff0007f
-#define MATCH_FCVT_D_LU 0xd2300053
-#define MASK_FCVT_D_LU 0xfff0007f
-#define MATCH_FMV_D_X 0xf2000053
-#define MASK_FMV_D_X 0xfff0707f
-#define MATCH_FLW 0x2007
-#define MASK_FLW 0x707f
-#define MATCH_FLD 0x3007
-#define MASK_FLD 0x707f
-#define MATCH_FSW 0x2027
-#define MASK_FSW 0x707f
-#define MATCH_FSD 0x3027
-#define MASK_FSD 0x707f
-#define MATCH_FMADD_S 0x43
-#define MASK_FMADD_S 0x600007f
-#define MATCH_FMSUB_S 0x47
-#define MASK_FMSUB_S 0x600007f
-#define MATCH_FNMSUB_S 0x4b
-#define MASK_FNMSUB_S 0x600007f
-#define MATCH_FNMADD_S 0x4f
-#define MASK_FNMADD_S 0x600007f
-#define MATCH_FMADD_D 0x2000043
-#define MASK_FMADD_D 0x600007f
-#define MATCH_FMSUB_D 0x2000047
-#define MASK_FMSUB_D 0x600007f
-#define MATCH_FNMSUB_D 0x200004b
-#define MASK_FNMSUB_D 0x600007f
-#define MATCH_FNMADD_D 0x200004f
-#define MASK_FNMADD_D 0x600007f
-#define MATCH_C_NOP 0x1
-#define MASK_C_NOP 0xffff
-#define MATCH_C_ADDI16SP 0x6101
-#define MASK_C_ADDI16SP 0xef83
-#define MATCH_C_JR 0x8002
-#define MASK_C_JR 0xf07f
-#define MATCH_C_JALR 0x9002
-#define MASK_C_JALR 0xf07f
-#define MATCH_C_EBREAK 0x9002
-#define MASK_C_EBREAK 0xffff
-#define MATCH_C_LD 0x6000
-#define MASK_C_LD 0xe003
-#define MATCH_C_SD 0xe000
-#define MASK_C_SD 0xe003
-#define MATCH_C_ADDIW 0x2001
-#define MASK_C_ADDIW 0xe003
-#define MATCH_C_LDSP 0x6002
-#define MASK_C_LDSP 0xe003
-#define MATCH_C_SDSP 0xe002
-#define MASK_C_SDSP 0xe003
-#define MATCH_C_ADDI4SPN 0x0
-#define MASK_C_ADDI4SPN 0xe003
-#define MATCH_C_FLD 0x2000
-#define MASK_C_FLD 0xe003
-#define MATCH_C_LW 0x4000
-#define MASK_C_LW 0xe003
-#define MATCH_C_FLW 0x6000
-#define MASK_C_FLW 0xe003
-#define MATCH_C_FSD 0xa000
-#define MASK_C_FSD 0xe003
-#define MATCH_C_SW 0xc000
-#define MASK_C_SW 0xe003
-#define MATCH_C_FSW 0xe000
-#define MASK_C_FSW 0xe003
-#define MATCH_C_ADDI 0x1
-#define MASK_C_ADDI 0xe003
-#define MATCH_C_JAL 0x2001
-#define MASK_C_JAL 0xe003
-#define MATCH_C_LI 0x4001
-#define MASK_C_LI 0xe003
-#define MATCH_C_LUI 0x6001
-#define MASK_C_LUI 0xe003
-#define MATCH_C_SRLI 0x8001
-#define MASK_C_SRLI 0xec03
-#define MATCH_C_SRAI 0x8401
-#define MASK_C_SRAI 0xec03
-#define MATCH_C_ANDI 0x8801
-#define MASK_C_ANDI 0xec03
-#define MATCH_C_SUB 0x8c01
-#define MASK_C_SUB 0xfc63
-#define MATCH_C_XOR 0x8c21
-#define MASK_C_XOR 0xfc63
-#define MATCH_C_OR 0x8c41
-#define MASK_C_OR 0xfc63
-#define MATCH_C_AND 0x8c61
-#define MASK_C_AND 0xfc63
-#define MATCH_C_SUBW 0x9c01
-#define MASK_C_SUBW 0xfc63
-#define MATCH_C_ADDW 0x9c21
-#define MASK_C_ADDW 0xfc63
-#define MATCH_C_J 0xa001
-#define MASK_C_J 0xe003
-#define MATCH_C_BEQZ 0xc001
-#define MASK_C_BEQZ 0xe003
-#define MATCH_C_BNEZ 0xe001
-#define MASK_C_BNEZ 0xe003
-#define MATCH_C_SLLI 0x2
-#define MASK_C_SLLI 0xe003
-#define MATCH_C_FLDSP 0x2002
-#define MASK_C_FLDSP 0xe003
-#define MATCH_C_LWSP 0x4002
-#define MASK_C_LWSP 0xe003
-#define MATCH_C_FLWSP 0x6002
-#define MASK_C_FLWSP 0xe003
-#define MATCH_C_MV 0x8002
-#define MASK_C_MV 0xf003
-#define MATCH_C_ADD 0x9002
-#define MASK_C_ADD 0xf003
-#define MATCH_C_FSDSP 0xa002
-#define MASK_C_FSDSP 0xe003
-#define MATCH_C_SWSP 0xc002
-#define MASK_C_SWSP 0xe003
-#define MATCH_C_FSWSP 0xe002
-#define MASK_C_FSWSP 0xe003
-#define MATCH_CUSTOM0 0xb
-#define MASK_CUSTOM0 0x707f
-#define MATCH_CUSTOM0_RS1 0x200b
-#define MASK_CUSTOM0_RS1 0x707f
-#define MATCH_CUSTOM0_RS1_RS2 0x300b
-#define MASK_CUSTOM0_RS1_RS2 0x707f
-#define MATCH_CUSTOM0_RD 0x400b
-#define MASK_CUSTOM0_RD 0x707f
-#define MATCH_CUSTOM0_RD_RS1 0x600b
-#define MASK_CUSTOM0_RD_RS1 0x707f
-#define MATCH_CUSTOM0_RD_RS1_RS2 0x700b
-#define MASK_CUSTOM0_RD_RS1_RS2 0x707f
-#define MATCH_CUSTOM1 0x2b
-#define MASK_CUSTOM1 0x707f
-#define MATCH_CUSTOM1_RS1 0x202b
-#define MASK_CUSTOM1_RS1 0x707f
-#define MATCH_CUSTOM1_RS1_RS2 0x302b
-#define MASK_CUSTOM1_RS1_RS2 0x707f
-#define MATCH_CUSTOM1_RD 0x402b
-#define MASK_CUSTOM1_RD 0x707f
-#define MATCH_CUSTOM1_RD_RS1 0x602b
-#define MASK_CUSTOM1_RD_RS1 0x707f
-#define MATCH_CUSTOM1_RD_RS1_RS2 0x702b
-#define MASK_CUSTOM1_RD_RS1_RS2 0x707f
-#define MATCH_CUSTOM2 0x5b
-#define MASK_CUSTOM2 0x707f
-#define MATCH_CUSTOM2_RS1 0x205b
-#define MASK_CUSTOM2_RS1 0x707f
-#define MATCH_CUSTOM2_RS1_RS2 0x305b
-#define MASK_CUSTOM2_RS1_RS2 0x707f
-#define MATCH_CUSTOM2_RD 0x405b
-#define MASK_CUSTOM2_RD 0x707f
-#define MATCH_CUSTOM2_RD_RS1 0x605b
-#define MASK_CUSTOM2_RD_RS1 0x707f
-#define MATCH_CUSTOM2_RD_RS1_RS2 0x705b
-#define MASK_CUSTOM2_RD_RS1_RS2 0x707f
-#define MATCH_CUSTOM3 0x7b
-#define MASK_CUSTOM3 0x707f
-#define MATCH_CUSTOM3_RS1 0x207b
-#define MASK_CUSTOM3_RS1 0x707f
-#define MATCH_CUSTOM3_RS1_RS2 0x307b
-#define MASK_CUSTOM3_RS1_RS2 0x707f
-#define MATCH_CUSTOM3_RD 0x407b
-#define MASK_CUSTOM3_RD 0x707f
-#define MATCH_CUSTOM3_RD_RS1 0x607b
-#define MASK_CUSTOM3_RD_RS1 0x707f
-#define MATCH_CUSTOM3_RD_RS1_RS2 0x707b
-#define MASK_CUSTOM3_RD_RS1_RS2 0x707f
-#define CSR_FFLAGS 0x1
-#define CSR_FRM 0x2
-#define CSR_FCSR 0x3
-#define CSR_CYCLE 0xc00
-#define CSR_TIME 0xc01
-#define CSR_INSTRET 0xc02
-#define CSR_HPMCOUNTER3 0xc03
-#define CSR_HPMCOUNTER4 0xc04
-#define CSR_HPMCOUNTER5 0xc05
-#define CSR_HPMCOUNTER6 0xc06
-#define CSR_HPMCOUNTER7 0xc07
-#define CSR_HPMCOUNTER8 0xc08
-#define CSR_HPMCOUNTER9 0xc09
-#define CSR_HPMCOUNTER10 0xc0a
-#define CSR_HPMCOUNTER11 0xc0b
-#define CSR_HPMCOUNTER12 0xc0c
-#define CSR_HPMCOUNTER13 0xc0d
-#define CSR_HPMCOUNTER14 0xc0e
-#define CSR_HPMCOUNTER15 0xc0f
-#define CSR_HPMCOUNTER16 0xc10
-#define CSR_HPMCOUNTER17 0xc11
-#define CSR_HPMCOUNTER18 0xc12
-#define CSR_HPMCOUNTER19 0xc13
-#define CSR_HPMCOUNTER20 0xc14
-#define CSR_HPMCOUNTER21 0xc15
-#define CSR_HPMCOUNTER22 0xc16
-#define CSR_HPMCOUNTER23 0xc17
-#define CSR_HPMCOUNTER24 0xc18
-#define CSR_HPMCOUNTER25 0xc19
-#define CSR_HPMCOUNTER26 0xc1a
-#define CSR_HPMCOUNTER27 0xc1b
-#define CSR_HPMCOUNTER28 0xc1c
-#define CSR_HPMCOUNTER29 0xc1d
-#define CSR_HPMCOUNTER30 0xc1e
-#define CSR_HPMCOUNTER31 0xc1f
-#define CSR_SSTATUS 0x100
-#define CSR_SIE 0x104
-#define CSR_STVEC 0x105
-#define CSR_SSCRATCH 0x140
-#define CSR_SEPC 0x141
-#define CSR_SCAUSE 0x142
-#define CSR_SBADADDR 0x143
-#define CSR_SIP 0x144
-#define CSR_SPTBR 0x180
-#define CSR_MSTATUS 0x300
-#define CSR_MISA 0x301
-#define CSR_MEDELEG 0x302
-#define CSR_MIDELEG 0x303
-#define CSR_MIE 0x304
-#define CSR_MTVEC 0x305
-#define CSR_MSCRATCH 0x340
-#define CSR_MEPC 0x341
-#define CSR_MCAUSE 0x342
-#define CSR_MBADADDR 0x343
-#define CSR_MIP 0x344
-#define CSR_TSELECT 0x7a0
-#define CSR_TDATA1 0x7a1
-#define CSR_TDATA2 0x7a2
-#define CSR_TDATA3 0x7a3
-#define CSR_DCSR 0x7b0
-#define CSR_DPC 0x7b1
-#define CSR_DSCRATCH 0x7b2
-#define CSR_MCYCLE 0xb00
-#define CSR_MINSTRET 0xb02
-#define CSR_MHPMCOUNTER3 0xb03
-#define CSR_MHPMCOUNTER4 0xb04
-#define CSR_MHPMCOUNTER5 0xb05
-#define CSR_MHPMCOUNTER6 0xb06
-#define CSR_MHPMCOUNTER7 0xb07
-#define CSR_MHPMCOUNTER8 0xb08
-#define CSR_MHPMCOUNTER9 0xb09
-#define CSR_MHPMCOUNTER10 0xb0a
-#define CSR_MHPMCOUNTER11 0xb0b
-#define CSR_MHPMCOUNTER12 0xb0c
-#define CSR_MHPMCOUNTER13 0xb0d
-#define CSR_MHPMCOUNTER14 0xb0e
-#define CSR_MHPMCOUNTER15 0xb0f
-#define CSR_MHPMCOUNTER16 0xb10
-#define CSR_MHPMCOUNTER17 0xb11
-#define CSR_MHPMCOUNTER18 0xb12
-#define CSR_MHPMCOUNTER19 0xb13
-#define CSR_MHPMCOUNTER20 0xb14
-#define CSR_MHPMCOUNTER21 0xb15
-#define CSR_MHPMCOUNTER22 0xb16
-#define CSR_MHPMCOUNTER23 0xb17
-#define CSR_MHPMCOUNTER24 0xb18
-#define CSR_MHPMCOUNTER25 0xb19
-#define CSR_MHPMCOUNTER26 0xb1a
-#define CSR_MHPMCOUNTER27 0xb1b
-#define CSR_MHPMCOUNTER28 0xb1c
-#define CSR_MHPMCOUNTER29 0xb1d
-#define CSR_MHPMCOUNTER30 0xb1e
-#define CSR_MHPMCOUNTER31 0xb1f
-#define CSR_MUCOUNTEREN 0x320
-#define CSR_MSCOUNTEREN 0x321
-#define CSR_MHPMEVENT3 0x323
-#define CSR_MHPMEVENT4 0x324
-#define CSR_MHPMEVENT5 0x325
-#define CSR_MHPMEVENT6 0x326
-#define CSR_MHPMEVENT7 0x327
-#define CSR_MHPMEVENT8 0x328
-#define CSR_MHPMEVENT9 0x329
-#define CSR_MHPMEVENT10 0x32a
-#define CSR_MHPMEVENT11 0x32b
-#define CSR_MHPMEVENT12 0x32c
-#define CSR_MHPMEVENT13 0x32d
-#define CSR_MHPMEVENT14 0x32e
-#define CSR_MHPMEVENT15 0x32f
-#define CSR_MHPMEVENT16 0x330
-#define CSR_MHPMEVENT17 0x331
-#define CSR_MHPMEVENT18 0x332
-#define CSR_MHPMEVENT19 0x333
-#define CSR_MHPMEVENT20 0x334
-#define CSR_MHPMEVENT21 0x335
-#define CSR_MHPMEVENT22 0x336
-#define CSR_MHPMEVENT23 0x337
-#define CSR_MHPMEVENT24 0x338
-#define CSR_MHPMEVENT25 0x339
-#define CSR_MHPMEVENT26 0x33a
-#define CSR_MHPMEVENT27 0x33b
-#define CSR_MHPMEVENT28 0x33c
-#define CSR_MHPMEVENT29 0x33d
-#define CSR_MHPMEVENT30 0x33e
-#define CSR_MHPMEVENT31 0x33f
-#define CSR_MVENDORID 0xf11
-#define CSR_MARCHID 0xf12
-#define CSR_MIMPID 0xf13
-#define CSR_MHARTID 0xf14
-#define CSR_CYCLEH 0xc80
-#define CSR_TIMEH 0xc81
-#define CSR_INSTRETH 0xc82
-#define CSR_HPMCOUNTER3H 0xc83
-#define CSR_HPMCOUNTER4H 0xc84
-#define CSR_HPMCOUNTER5H 0xc85
-#define CSR_HPMCOUNTER6H 0xc86
-#define CSR_HPMCOUNTER7H 0xc87
-#define CSR_HPMCOUNTER8H 0xc88
-#define CSR_HPMCOUNTER9H 0xc89
-#define CSR_HPMCOUNTER10H 0xc8a
-#define CSR_HPMCOUNTER11H 0xc8b
-#define CSR_HPMCOUNTER12H 0xc8c
-#define CSR_HPMCOUNTER13H 0xc8d
-#define CSR_HPMCOUNTER14H 0xc8e
-#define CSR_HPMCOUNTER15H 0xc8f
-#define CSR_HPMCOUNTER16H 0xc90
-#define CSR_HPMCOUNTER17H 0xc91
-#define CSR_HPMCOUNTER18H 0xc92
-#define CSR_HPMCOUNTER19H 0xc93
-#define CSR_HPMCOUNTER20H 0xc94
-#define CSR_HPMCOUNTER21H 0xc95
-#define CSR_HPMCOUNTER22H 0xc96
-#define CSR_HPMCOUNTER23H 0xc97
-#define CSR_HPMCOUNTER24H 0xc98
-#define CSR_HPMCOUNTER25H 0xc99
-#define CSR_HPMCOUNTER26H 0xc9a
-#define CSR_HPMCOUNTER27H 0xc9b
-#define CSR_HPMCOUNTER28H 0xc9c
-#define CSR_HPMCOUNTER29H 0xc9d
-#define CSR_HPMCOUNTER30H 0xc9e
-#define CSR_HPMCOUNTER31H 0xc9f
-#define CSR_MCYCLEH 0xb80
-#define CSR_MINSTRETH 0xb82
-#define CSR_MHPMCOUNTER3H 0xb83
-#define CSR_MHPMCOUNTER4H 0xb84
-#define CSR_MHPMCOUNTER5H 0xb85
-#define CSR_MHPMCOUNTER6H 0xb86
-#define CSR_MHPMCOUNTER7H 0xb87
-#define CSR_MHPMCOUNTER8H 0xb88
-#define CSR_MHPMCOUNTER9H 0xb89
-#define CSR_MHPMCOUNTER10H 0xb8a
-#define CSR_MHPMCOUNTER11H 0xb8b
-#define CSR_MHPMCOUNTER12H 0xb8c
-#define CSR_MHPMCOUNTER13H 0xb8d
-#define CSR_MHPMCOUNTER14H 0xb8e
-#define CSR_MHPMCOUNTER15H 0xb8f
-#define CSR_MHPMCOUNTER16H 0xb90
-#define CSR_MHPMCOUNTER17H 0xb91
-#define CSR_MHPMCOUNTER18H 0xb92
-#define CSR_MHPMCOUNTER19H 0xb93
-#define CSR_MHPMCOUNTER20H 0xb94
-#define CSR_MHPMCOUNTER21H 0xb95
-#define CSR_MHPMCOUNTER22H 0xb96
-#define CSR_MHPMCOUNTER23H 0xb97
-#define CSR_MHPMCOUNTER24H 0xb98
-#define CSR_MHPMCOUNTER25H 0xb99
-#define CSR_MHPMCOUNTER26H 0xb9a
-#define CSR_MHPMCOUNTER27H 0xb9b
-#define CSR_MHPMCOUNTER28H 0xb9c
-#define CSR_MHPMCOUNTER29H 0xb9d
-#define CSR_MHPMCOUNTER30H 0xb9e
-#define CSR_MHPMCOUNTER31H 0xb9f
-#define CAUSE_MISALIGNED_FETCH 0x0
-#define CAUSE_FAULT_FETCH 0x1
-#define CAUSE_ILLEGAL_INSTRUCTION 0x2
-#define CAUSE_BREAKPOINT 0x3
-#define CAUSE_MISALIGNED_LOAD 0x4
-#define CAUSE_FAULT_LOAD 0x5
-#define CAUSE_MISALIGNED_STORE 0x6
-#define CAUSE_FAULT_STORE 0x7
-#define CAUSE_USER_ECALL 0x8
-#define CAUSE_SUPERVISOR_ECALL 0x9
-#define CAUSE_HYPERVISOR_ECALL 0xa
-#define CAUSE_MACHINE_ECALL 0xb
-#endif
-#ifdef DECLARE_INSN
-DECLARE_INSN(beq, MATCH_BEQ, MASK_BEQ)
-DECLARE_INSN(bne, MATCH_BNE, MASK_BNE)
-DECLARE_INSN(blt, MATCH_BLT, MASK_BLT)
-DECLARE_INSN(bge, MATCH_BGE, MASK_BGE)
-DECLARE_INSN(bltu, MATCH_BLTU, MASK_BLTU)
-DECLARE_INSN(bgeu, MATCH_BGEU, MASK_BGEU)
-DECLARE_INSN(jalr, MATCH_JALR, MASK_JALR)
-DECLARE_INSN(jal, MATCH_JAL, MASK_JAL)
-DECLARE_INSN(lui, MATCH_LUI, MASK_LUI)
-DECLARE_INSN(auipc, MATCH_AUIPC, MASK_AUIPC)
-DECLARE_INSN(addi, MATCH_ADDI, MASK_ADDI)
-DECLARE_INSN(slli, MATCH_SLLI, MASK_SLLI)
-DECLARE_INSN(slti, MATCH_SLTI, MASK_SLTI)
-DECLARE_INSN(sltiu, MATCH_SLTIU, MASK_SLTIU)
-DECLARE_INSN(xori, MATCH_XORI, MASK_XORI)
-DECLARE_INSN(srli, MATCH_SRLI, MASK_SRLI)
-DECLARE_INSN(srai, MATCH_SRAI, MASK_SRAI)
-DECLARE_INSN(ori, MATCH_ORI, MASK_ORI)
-DECLARE_INSN(andi, MATCH_ANDI, MASK_ANDI)
-DECLARE_INSN(add, MATCH_ADD, MASK_ADD)
-DECLARE_INSN(sub, MATCH_SUB, MASK_SUB)
-DECLARE_INSN(sll, MATCH_SLL, MASK_SLL)
-DECLARE_INSN(slt, MATCH_SLT, MASK_SLT)
-DECLARE_INSN(sltu, MATCH_SLTU, MASK_SLTU)
-DECLARE_INSN(xor, MATCH_XOR, MASK_XOR)
-DECLARE_INSN(srl, MATCH_SRL, MASK_SRL)
-DECLARE_INSN(sra, MATCH_SRA, MASK_SRA)
-DECLARE_INSN(or, MATCH_OR, MASK_OR)
-DECLARE_INSN(and, MATCH_AND, MASK_AND)
-DECLARE_INSN(addiw, MATCH_ADDIW, MASK_ADDIW)
-DECLARE_INSN(slliw, MATCH_SLLIW, MASK_SLLIW)
-DECLARE_INSN(srliw, MATCH_SRLIW, MASK_SRLIW)
-DECLARE_INSN(sraiw, MATCH_SRAIW, MASK_SRAIW)
-DECLARE_INSN(addw, MATCH_ADDW, MASK_ADDW)
-DECLARE_INSN(subw, MATCH_SUBW, MASK_SUBW)
-DECLARE_INSN(sllw, MATCH_SLLW, MASK_SLLW)
-DECLARE_INSN(srlw, MATCH_SRLW, MASK_SRLW)
-DECLARE_INSN(sraw, MATCH_SRAW, MASK_SRAW)
-DECLARE_INSN(lb, MATCH_LB, MASK_LB)
-DECLARE_INSN(lh, MATCH_LH, MASK_LH)
-DECLARE_INSN(lw, MATCH_LW, MASK_LW)
-DECLARE_INSN(ld, MATCH_LD, MASK_LD)
-DECLARE_INSN(lbu, MATCH_LBU, MASK_LBU)
-DECLARE_INSN(lhu, MATCH_LHU, MASK_LHU)
-DECLARE_INSN(lwu, MATCH_LWU, MASK_LWU)
-DECLARE_INSN(sb, MATCH_SB, MASK_SB)
-DECLARE_INSN(sh, MATCH_SH, MASK_SH)
-DECLARE_INSN(sw, MATCH_SW, MASK_SW)
-DECLARE_INSN(sd, MATCH_SD, MASK_SD)
-DECLARE_INSN(fence, MATCH_FENCE, MASK_FENCE)
-DECLARE_INSN(fence_i, MATCH_FENCE_I, MASK_FENCE_I)
-DECLARE_INSN(mul, MATCH_MUL, MASK_MUL)
-DECLARE_INSN(mulh, MATCH_MULH, MASK_MULH)
-DECLARE_INSN(mulhsu, MATCH_MULHSU, MASK_MULHSU)
-DECLARE_INSN(mulhu, MATCH_MULHU, MASK_MULHU)
-DECLARE_INSN(div, MATCH_DIV, MASK_DIV)
-DECLARE_INSN(divu, MATCH_DIVU, MASK_DIVU)
-DECLARE_INSN(rem, MATCH_REM, MASK_REM)
-DECLARE_INSN(remu, MATCH_REMU, MASK_REMU)
-DECLARE_INSN(mulw, MATCH_MULW, MASK_MULW)
-DECLARE_INSN(divw, MATCH_DIVW, MASK_DIVW)
-DECLARE_INSN(divuw, MATCH_DIVUW, MASK_DIVUW)
-DECLARE_INSN(remw, MATCH_REMW, MASK_REMW)
-DECLARE_INSN(remuw, MATCH_REMUW, MASK_REMUW)
-DECLARE_INSN(amoadd_w, MATCH_AMOADD_W, MASK_AMOADD_W)
-DECLARE_INSN(amoxor_w, MATCH_AMOXOR_W, MASK_AMOXOR_W)
-DECLARE_INSN(amoor_w, MATCH_AMOOR_W, MASK_AMOOR_W)
-DECLARE_INSN(amoand_w, MATCH_AMOAND_W, MASK_AMOAND_W)
-DECLARE_INSN(amomin_w, MATCH_AMOMIN_W, MASK_AMOMIN_W)
-DECLARE_INSN(amomax_w, MATCH_AMOMAX_W, MASK_AMOMAX_W)
-DECLARE_INSN(amominu_w, MATCH_AMOMINU_W, MASK_AMOMINU_W)
-DECLARE_INSN(amomaxu_w, MATCH_AMOMAXU_W, MASK_AMOMAXU_W)
-DECLARE_INSN(amoswap_w, MATCH_AMOSWAP_W, MASK_AMOSWAP_W)
-DECLARE_INSN(lr_w, MATCH_LR_W, MASK_LR_W)
-DECLARE_INSN(sc_w, MATCH_SC_W, MASK_SC_W)
-DECLARE_INSN(amoadd_d, MATCH_AMOADD_D, MASK_AMOADD_D)
-DECLARE_INSN(amoxor_d, MATCH_AMOXOR_D, MASK_AMOXOR_D)
-DECLARE_INSN(amoor_d, MATCH_AMOOR_D, MASK_AMOOR_D)
-DECLARE_INSN(amoand_d, MATCH_AMOAND_D, MASK_AMOAND_D)
-DECLARE_INSN(amomin_d, MATCH_AMOMIN_D, MASK_AMOMIN_D)
-DECLARE_INSN(amomax_d, MATCH_AMOMAX_D, MASK_AMOMAX_D)
-DECLARE_INSN(amominu_d, MATCH_AMOMINU_D, MASK_AMOMINU_D)
-DECLARE_INSN(amomaxu_d, MATCH_AMOMAXU_D, MASK_AMOMAXU_D)
-DECLARE_INSN(amoswap_d, MATCH_AMOSWAP_D, MASK_AMOSWAP_D)
-DECLARE_INSN(lr_d, MATCH_LR_D, MASK_LR_D)
-DECLARE_INSN(sc_d, MATCH_SC_D, MASK_SC_D)
-DECLARE_INSN(ecall, MATCH_ECALL, MASK_ECALL)
-DECLARE_INSN(ebreak, MATCH_EBREAK, MASK_EBREAK)
-DECLARE_INSN(uret, MATCH_URET, MASK_URET)
-DECLARE_INSN(sret, MATCH_SRET, MASK_SRET)
-DECLARE_INSN(hret, MATCH_HRET, MASK_HRET)
-DECLARE_INSN(mret, MATCH_MRET, MASK_MRET)
-DECLARE_INSN(dret, MATCH_DRET, MASK_DRET)
-DECLARE_INSN(sfence_vm, MATCH_SFENCE_VM, MASK_SFENCE_VM)
-DECLARE_INSN(wfi, MATCH_WFI, MASK_WFI)
-DECLARE_INSN(csrrw, MATCH_CSRRW, MASK_CSRRW)
-DECLARE_INSN(csrrs, MATCH_CSRRS, MASK_CSRRS)
-DECLARE_INSN(csrrc, MATCH_CSRRC, MASK_CSRRC)
-DECLARE_INSN(csrrwi, MATCH_CSRRWI, MASK_CSRRWI)
-DECLARE_INSN(csrrsi, MATCH_CSRRSI, MASK_CSRRSI)
-DECLARE_INSN(csrrci, MATCH_CSRRCI, MASK_CSRRCI)
-DECLARE_INSN(fadd_s, MATCH_FADD_S, MASK_FADD_S)
-DECLARE_INSN(fsub_s, MATCH_FSUB_S, MASK_FSUB_S)
-DECLARE_INSN(fmul_s, MATCH_FMUL_S, MASK_FMUL_S)
-DECLARE_INSN(fdiv_s, MATCH_FDIV_S, MASK_FDIV_S)
-DECLARE_INSN(fsgnj_s, MATCH_FSGNJ_S, MASK_FSGNJ_S)
-DECLARE_INSN(fsgnjn_s, MATCH_FSGNJN_S, MASK_FSGNJN_S)
-DECLARE_INSN(fsgnjx_s, MATCH_FSGNJX_S, MASK_FSGNJX_S)
-DECLARE_INSN(fmin_s, MATCH_FMIN_S, MASK_FMIN_S)
-DECLARE_INSN(fmax_s, MATCH_FMAX_S, MASK_FMAX_S)
-DECLARE_INSN(fsqrt_s, MATCH_FSQRT_S, MASK_FSQRT_S)
-DECLARE_INSN(fadd_d, MATCH_FADD_D, MASK_FADD_D)
-DECLARE_INSN(fsub_d, MATCH_FSUB_D, MASK_FSUB_D)
-DECLARE_INSN(fmul_d, MATCH_FMUL_D, MASK_FMUL_D)
-DECLARE_INSN(fdiv_d, MATCH_FDIV_D, MASK_FDIV_D)
-DECLARE_INSN(fsgnj_d, MATCH_FSGNJ_D, MASK_FSGNJ_D)
-DECLARE_INSN(fsgnjn_d, MATCH_FSGNJN_D, MASK_FSGNJN_D)
-DECLARE_INSN(fsgnjx_d, MATCH_FSGNJX_D, MASK_FSGNJX_D)
-DECLARE_INSN(fmin_d, MATCH_FMIN_D, MASK_FMIN_D)
-DECLARE_INSN(fmax_d, MATCH_FMAX_D, MASK_FMAX_D)
-DECLARE_INSN(fcvt_s_d, MATCH_FCVT_S_D, MASK_FCVT_S_D)
-DECLARE_INSN(fcvt_d_s, MATCH_FCVT_D_S, MASK_FCVT_D_S)
-DECLARE_INSN(fsqrt_d, MATCH_FSQRT_D, MASK_FSQRT_D)
-DECLARE_INSN(fle_s, MATCH_FLE_S, MASK_FLE_S)
-DECLARE_INSN(flt_s, MATCH_FLT_S, MASK_FLT_S)
-DECLARE_INSN(feq_s, MATCH_FEQ_S, MASK_FEQ_S)
-DECLARE_INSN(fle_d, MATCH_FLE_D, MASK_FLE_D)
-DECLARE_INSN(flt_d, MATCH_FLT_D, MASK_FLT_D)
-DECLARE_INSN(feq_d, MATCH_FEQ_D, MASK_FEQ_D)
-DECLARE_INSN(fcvt_w_s, MATCH_FCVT_W_S, MASK_FCVT_W_S)
-DECLARE_INSN(fcvt_wu_s, MATCH_FCVT_WU_S, MASK_FCVT_WU_S)
-DECLARE_INSN(fcvt_l_s, MATCH_FCVT_L_S, MASK_FCVT_L_S)
-DECLARE_INSN(fcvt_lu_s, MATCH_FCVT_LU_S, MASK_FCVT_LU_S)
-DECLARE_INSN(fmv_x_s, MATCH_FMV_X_S, MASK_FMV_X_S)
-DECLARE_INSN(fclass_s, MATCH_FCLASS_S, MASK_FCLASS_S)
-DECLARE_INSN(fcvt_w_d, MATCH_FCVT_W_D, MASK_FCVT_W_D)
-DECLARE_INSN(fcvt_wu_d, MATCH_FCVT_WU_D, MASK_FCVT_WU_D)
-DECLARE_INSN(fcvt_l_d, MATCH_FCVT_L_D, MASK_FCVT_L_D)
-DECLARE_INSN(fcvt_lu_d, MATCH_FCVT_LU_D, MASK_FCVT_LU_D)
-DECLARE_INSN(fmv_x_d, MATCH_FMV_X_D, MASK_FMV_X_D)
-DECLARE_INSN(fclass_d, MATCH_FCLASS_D, MASK_FCLASS_D)
-DECLARE_INSN(fcvt_s_w, MATCH_FCVT_S_W, MASK_FCVT_S_W)
-DECLARE_INSN(fcvt_s_wu, MATCH_FCVT_S_WU, MASK_FCVT_S_WU)
-DECLARE_INSN(fcvt_s_l, MATCH_FCVT_S_L, MASK_FCVT_S_L)
-DECLARE_INSN(fcvt_s_lu, MATCH_FCVT_S_LU, MASK_FCVT_S_LU)
-DECLARE_INSN(fmv_s_x, MATCH_FMV_S_X, MASK_FMV_S_X)
-DECLARE_INSN(fcvt_d_w, MATCH_FCVT_D_W, MASK_FCVT_D_W)
-DECLARE_INSN(fcvt_d_wu, MATCH_FCVT_D_WU, MASK_FCVT_D_WU)
-DECLARE_INSN(fcvt_d_l, MATCH_FCVT_D_L, MASK_FCVT_D_L)
-DECLARE_INSN(fcvt_d_lu, MATCH_FCVT_D_LU, MASK_FCVT_D_LU)
-DECLARE_INSN(fmv_d_x, MATCH_FMV_D_X, MASK_FMV_D_X)
-DECLARE_INSN(flw, MATCH_FLW, MASK_FLW)
-DECLARE_INSN(fld, MATCH_FLD, MASK_FLD)
-DECLARE_INSN(fsw, MATCH_FSW, MASK_FSW)
-DECLARE_INSN(fsd, MATCH_FSD, MASK_FSD)
-DECLARE_INSN(fmadd_s, MATCH_FMADD_S, MASK_FMADD_S)
-DECLARE_INSN(fmsub_s, MATCH_FMSUB_S, MASK_FMSUB_S)
-DECLARE_INSN(fnmsub_s, MATCH_FNMSUB_S, MASK_FNMSUB_S)
-DECLARE_INSN(fnmadd_s, MATCH_FNMADD_S, MASK_FNMADD_S)
-DECLARE_INSN(fmadd_d, MATCH_FMADD_D, MASK_FMADD_D)
-DECLARE_INSN(fmsub_d, MATCH_FMSUB_D, MASK_FMSUB_D)
-DECLARE_INSN(fnmsub_d, MATCH_FNMSUB_D, MASK_FNMSUB_D)
-DECLARE_INSN(fnmadd_d, MATCH_FNMADD_D, MASK_FNMADD_D)
-DECLARE_INSN(c_nop, MATCH_C_NOP, MASK_C_NOP)
-DECLARE_INSN(c_addi16sp, MATCH_C_ADDI16SP, MASK_C_ADDI16SP)
-DECLARE_INSN(c_jr, MATCH_C_JR, MASK_C_JR)
-DECLARE_INSN(c_jalr, MATCH_C_JALR, MASK_C_JALR)
-DECLARE_INSN(c_ebreak, MATCH_C_EBREAK, MASK_C_EBREAK)
-DECLARE_INSN(c_ld, MATCH_C_LD, MASK_C_LD)
-DECLARE_INSN(c_sd, MATCH_C_SD, MASK_C_SD)
-DECLARE_INSN(c_addiw, MATCH_C_ADDIW, MASK_C_ADDIW)
-DECLARE_INSN(c_ldsp, MATCH_C_LDSP, MASK_C_LDSP)
-DECLARE_INSN(c_sdsp, MATCH_C_SDSP, MASK_C_SDSP)
-DECLARE_INSN(c_addi4spn, MATCH_C_ADDI4SPN, MASK_C_ADDI4SPN)
-DECLARE_INSN(c_fld, MATCH_C_FLD, MASK_C_FLD)
-DECLARE_INSN(c_lw, MATCH_C_LW, MASK_C_LW)
-DECLARE_INSN(c_flw, MATCH_C_FLW, MASK_C_FLW)
-DECLARE_INSN(c_fsd, MATCH_C_FSD, MASK_C_FSD)
-DECLARE_INSN(c_sw, MATCH_C_SW, MASK_C_SW)
-DECLARE_INSN(c_fsw, MATCH_C_FSW, MASK_C_FSW)
-DECLARE_INSN(c_addi, MATCH_C_ADDI, MASK_C_ADDI)
-DECLARE_INSN(c_jal, MATCH_C_JAL, MASK_C_JAL)
-DECLARE_INSN(c_li, MATCH_C_LI, MASK_C_LI)
-DECLARE_INSN(c_lui, MATCH_C_LUI, MASK_C_LUI)
-DECLARE_INSN(c_srli, MATCH_C_SRLI, MASK_C_SRLI)
-DECLARE_INSN(c_srai, MATCH_C_SRAI, MASK_C_SRAI)
-DECLARE_INSN(c_andi, MATCH_C_ANDI, MASK_C_ANDI)
-DECLARE_INSN(c_sub, MATCH_C_SUB, MASK_C_SUB)
-DECLARE_INSN(c_xor, MATCH_C_XOR, MASK_C_XOR)
-DECLARE_INSN(c_or, MATCH_C_OR, MASK_C_OR)
-DECLARE_INSN(c_and, MATCH_C_AND, MASK_C_AND)
-DECLARE_INSN(c_subw, MATCH_C_SUBW, MASK_C_SUBW)
-DECLARE_INSN(c_addw, MATCH_C_ADDW, MASK_C_ADDW)
-DECLARE_INSN(c_j, MATCH_C_J, MASK_C_J)
-DECLARE_INSN(c_beqz, MATCH_C_BEQZ, MASK_C_BEQZ)
-DECLARE_INSN(c_bnez, MATCH_C_BNEZ, MASK_C_BNEZ)
-DECLARE_INSN(c_slli, MATCH_C_SLLI, MASK_C_SLLI)
-DECLARE_INSN(c_fldsp, MATCH_C_FLDSP, MASK_C_FLDSP)
-DECLARE_INSN(c_lwsp, MATCH_C_LWSP, MASK_C_LWSP)
-DECLARE_INSN(c_flwsp, MATCH_C_FLWSP, MASK_C_FLWSP)
-DECLARE_INSN(c_mv, MATCH_C_MV, MASK_C_MV)
-DECLARE_INSN(c_add, MATCH_C_ADD, MASK_C_ADD)
-DECLARE_INSN(c_fsdsp, MATCH_C_FSDSP, MASK_C_FSDSP)
-DECLARE_INSN(c_swsp, MATCH_C_SWSP, MASK_C_SWSP)
-DECLARE_INSN(c_fswsp, MATCH_C_FSWSP, MASK_C_FSWSP)
-DECLARE_INSN(custom0, MATCH_CUSTOM0, MASK_CUSTOM0)
-DECLARE_INSN(custom0_rs1, MATCH_CUSTOM0_RS1, MASK_CUSTOM0_RS1)
-DECLARE_INSN(custom0_rs1_rs2, MATCH_CUSTOM0_RS1_RS2, MASK_CUSTOM0_RS1_RS2)
-DECLARE_INSN(custom0_rd, MATCH_CUSTOM0_RD, MASK_CUSTOM0_RD)
-DECLARE_INSN(custom0_rd_rs1, MATCH_CUSTOM0_RD_RS1, MASK_CUSTOM0_RD_RS1)
-DECLARE_INSN(custom0_rd_rs1_rs2, MATCH_CUSTOM0_RD_RS1_RS2, MASK_CUSTOM0_RD_RS1_RS2)
-DECLARE_INSN(custom1, MATCH_CUSTOM1, MASK_CUSTOM1)
-DECLARE_INSN(custom1_rs1, MATCH_CUSTOM1_RS1, MASK_CUSTOM1_RS1)
-DECLARE_INSN(custom1_rs1_rs2, MATCH_CUSTOM1_RS1_RS2, MASK_CUSTOM1_RS1_RS2)
-DECLARE_INSN(custom1_rd, MATCH_CUSTOM1_RD, MASK_CUSTOM1_RD)
-DECLARE_INSN(custom1_rd_rs1, MATCH_CUSTOM1_RD_RS1, MASK_CUSTOM1_RD_RS1)
-DECLARE_INSN(custom1_rd_rs1_rs2, MATCH_CUSTOM1_RD_RS1_RS2, MASK_CUSTOM1_RD_RS1_RS2)
-DECLARE_INSN(custom2, MATCH_CUSTOM2, MASK_CUSTOM2)
-DECLARE_INSN(custom2_rs1, MATCH_CUSTOM2_RS1, MASK_CUSTOM2_RS1)
-DECLARE_INSN(custom2_rs1_rs2, MATCH_CUSTOM2_RS1_RS2, MASK_CUSTOM2_RS1_RS2)
-DECLARE_INSN(custom2_rd, MATCH_CUSTOM2_RD, MASK_CUSTOM2_RD)
-DECLARE_INSN(custom2_rd_rs1, MATCH_CUSTOM2_RD_RS1, MASK_CUSTOM2_RD_RS1)
-DECLARE_INSN(custom2_rd_rs1_rs2, MATCH_CUSTOM2_RD_RS1_RS2, MASK_CUSTOM2_RD_RS1_RS2)
-DECLARE_INSN(custom3, MATCH_CUSTOM3, MASK_CUSTOM3)
-DECLARE_INSN(custom3_rs1, MATCH_CUSTOM3_RS1, MASK_CUSTOM3_RS1)
-DECLARE_INSN(custom3_rs1_rs2, MATCH_CUSTOM3_RS1_RS2, MASK_CUSTOM3_RS1_RS2)
-DECLARE_INSN(custom3_rd, MATCH_CUSTOM3_RD, MASK_CUSTOM3_RD)
-DECLARE_INSN(custom3_rd_rs1, MATCH_CUSTOM3_RD_RS1, MASK_CUSTOM3_RD_RS1)
-DECLARE_INSN(custom3_rd_rs1_rs2, MATCH_CUSTOM3_RD_RS1_RS2, MASK_CUSTOM3_RD_RS1_RS2)
-#endif
-#ifdef DECLARE_CSR
-DECLARE_CSR(fflags, CSR_FFLAGS)
-DECLARE_CSR(frm, CSR_FRM)
-DECLARE_CSR(fcsr, CSR_FCSR)
-DECLARE_CSR(cycle, CSR_CYCLE)
-DECLARE_CSR(time, CSR_TIME)
-DECLARE_CSR(instret, CSR_INSTRET)
-DECLARE_CSR(hpmcounter3, CSR_HPMCOUNTER3)
-DECLARE_CSR(hpmcounter4, CSR_HPMCOUNTER4)
-DECLARE_CSR(hpmcounter5, CSR_HPMCOUNTER5)
-DECLARE_CSR(hpmcounter6, CSR_HPMCOUNTER6)
-DECLARE_CSR(hpmcounter7, CSR_HPMCOUNTER7)
-DECLARE_CSR(hpmcounter8, CSR_HPMCOUNTER8)
-DECLARE_CSR(hpmcounter9, CSR_HPMCOUNTER9)
-DECLARE_CSR(hpmcounter10, CSR_HPMCOUNTER10)
-DECLARE_CSR(hpmcounter11, CSR_HPMCOUNTER11)
-DECLARE_CSR(hpmcounter12, CSR_HPMCOUNTER12)
-DECLARE_CSR(hpmcounter13, CSR_HPMCOUNTER13)
-DECLARE_CSR(hpmcounter14, CSR_HPMCOUNTER14)
-DECLARE_CSR(hpmcounter15, CSR_HPMCOUNTER15)
-DECLARE_CSR(hpmcounter16, CSR_HPMCOUNTER16)
-DECLARE_CSR(hpmcounter17, CSR_HPMCOUNTER17)
-DECLARE_CSR(hpmcounter18, CSR_HPMCOUNTER18)
-DECLARE_CSR(hpmcounter19, CSR_HPMCOUNTER19)
-DECLARE_CSR(hpmcounter20, CSR_HPMCOUNTER20)
-DECLARE_CSR(hpmcounter21, CSR_HPMCOUNTER21)
-DECLARE_CSR(hpmcounter22, CSR_HPMCOUNTER22)
-DECLARE_CSR(hpmcounter23, CSR_HPMCOUNTER23)
-DECLARE_CSR(hpmcounter24, CSR_HPMCOUNTER24)
-DECLARE_CSR(hpmcounter25, CSR_HPMCOUNTER25)
-DECLARE_CSR(hpmcounter26, CSR_HPMCOUNTER26)
-DECLARE_CSR(hpmcounter27, CSR_HPMCOUNTER27)
-DECLARE_CSR(hpmcounter28, CSR_HPMCOUNTER28)
-DECLARE_CSR(hpmcounter29, CSR_HPMCOUNTER29)
-DECLARE_CSR(hpmcounter30, CSR_HPMCOUNTER30)
-DECLARE_CSR(hpmcounter31, CSR_HPMCOUNTER31)
-DECLARE_CSR(sstatus, CSR_SSTATUS)
-DECLARE_CSR(sie, CSR_SIE)
-DECLARE_CSR(stvec, CSR_STVEC)
-DECLARE_CSR(sscratch, CSR_SSCRATCH)
-DECLARE_CSR(sepc, CSR_SEPC)
-DECLARE_CSR(scause, CSR_SCAUSE)
-DECLARE_CSR(sbadaddr, CSR_SBADADDR)
-DECLARE_CSR(sip, CSR_SIP)
-DECLARE_CSR(sptbr, CSR_SPTBR)
-DECLARE_CSR(mstatus, CSR_MSTATUS)
-DECLARE_CSR(misa, CSR_MISA)
-DECLARE_CSR(medeleg, CSR_MEDELEG)
-DECLARE_CSR(mideleg, CSR_MIDELEG)
-DECLARE_CSR(mie, CSR_MIE)
-DECLARE_CSR(mtvec, CSR_MTVEC)
-DECLARE_CSR(mscratch, CSR_MSCRATCH)
-DECLARE_CSR(mepc, CSR_MEPC)
-DECLARE_CSR(mcause, CSR_MCAUSE)
-DECLARE_CSR(mbadaddr, CSR_MBADADDR)
-DECLARE_CSR(mip, CSR_MIP)
-DECLARE_CSR(tselect, CSR_TSELECT)
-DECLARE_CSR(tdata1, CSR_TDATA1)
-DECLARE_CSR(tdata2, CSR_TDATA2)
-DECLARE_CSR(tdata3, CSR_TDATA3)
-DECLARE_CSR(dcsr, CSR_DCSR)
-DECLARE_CSR(dpc, CSR_DPC)
-DECLARE_CSR(dscratch, CSR_DSCRATCH)
-DECLARE_CSR(mcycle, CSR_MCYCLE)
-DECLARE_CSR(minstret, CSR_MINSTRET)
-DECLARE_CSR(mhpmcounter3, CSR_MHPMCOUNTER3)
-DECLARE_CSR(mhpmcounter4, CSR_MHPMCOUNTER4)
-DECLARE_CSR(mhpmcounter5, CSR_MHPMCOUNTER5)
-DECLARE_CSR(mhpmcounter6, CSR_MHPMCOUNTER6)
-DECLARE_CSR(mhpmcounter7, CSR_MHPMCOUNTER7)
-DECLARE_CSR(mhpmcounter8, CSR_MHPMCOUNTER8)
-DECLARE_CSR(mhpmcounter9, CSR_MHPMCOUNTER9)
-DECLARE_CSR(mhpmcounter10, CSR_MHPMCOUNTER10)
-DECLARE_CSR(mhpmcounter11, CSR_MHPMCOUNTER11)
-DECLARE_CSR(mhpmcounter12, CSR_MHPMCOUNTER12)
-DECLARE_CSR(mhpmcounter13, CSR_MHPMCOUNTER13)
-DECLARE_CSR(mhpmcounter14, CSR_MHPMCOUNTER14)
-DECLARE_CSR(mhpmcounter15, CSR_MHPMCOUNTER15)
-DECLARE_CSR(mhpmcounter16, CSR_MHPMCOUNTER16)
-DECLARE_CSR(mhpmcounter17, CSR_MHPMCOUNTER17)
-DECLARE_CSR(mhpmcounter18, CSR_MHPMCOUNTER18)
-DECLARE_CSR(mhpmcounter19, CSR_MHPMCOUNTER19)
-DECLARE_CSR(mhpmcounter20, CSR_MHPMCOUNTER20)
-DECLARE_CSR(mhpmcounter21, CSR_MHPMCOUNTER21)
-DECLARE_CSR(mhpmcounter22, CSR_MHPMCOUNTER22)
-DECLARE_CSR(mhpmcounter23, CSR_MHPMCOUNTER23)
-DECLARE_CSR(mhpmcounter24, CSR_MHPMCOUNTER24)
-DECLARE_CSR(mhpmcounter25, CSR_MHPMCOUNTER25)
-DECLARE_CSR(mhpmcounter26, CSR_MHPMCOUNTER26)
-DECLARE_CSR(mhpmcounter27, CSR_MHPMCOUNTER27)
-DECLARE_CSR(mhpmcounter28, CSR_MHPMCOUNTER28)
-DECLARE_CSR(mhpmcounter29, CSR_MHPMCOUNTER29)
-DECLARE_CSR(mhpmcounter30, CSR_MHPMCOUNTER30)
-DECLARE_CSR(mhpmcounter31, CSR_MHPMCOUNTER31)
-DECLARE_CSR(mucounteren, CSR_MUCOUNTEREN)
-DECLARE_CSR(mscounteren, CSR_MSCOUNTEREN)
-DECLARE_CSR(mhpmevent3, CSR_MHPMEVENT3)
-DECLARE_CSR(mhpmevent4, CSR_MHPMEVENT4)
-DECLARE_CSR(mhpmevent5, CSR_MHPMEVENT5)
-DECLARE_CSR(mhpmevent6, CSR_MHPMEVENT6)
-DECLARE_CSR(mhpmevent7, CSR_MHPMEVENT7)
-DECLARE_CSR(mhpmevent8, CSR_MHPMEVENT8)
-DECLARE_CSR(mhpmevent9, CSR_MHPMEVENT9)
-DECLARE_CSR(mhpmevent10, CSR_MHPMEVENT10)
-DECLARE_CSR(mhpmevent11, CSR_MHPMEVENT11)
-DECLARE_CSR(mhpmevent12, CSR_MHPMEVENT12)
-DECLARE_CSR(mhpmevent13, CSR_MHPMEVENT13)
-DECLARE_CSR(mhpmevent14, CSR_MHPMEVENT14)
-DECLARE_CSR(mhpmevent15, CSR_MHPMEVENT15)
-DECLARE_CSR(mhpmevent16, CSR_MHPMEVENT16)
-DECLARE_CSR(mhpmevent17, CSR_MHPMEVENT17)
-DECLARE_CSR(mhpmevent18, CSR_MHPMEVENT18)
-DECLARE_CSR(mhpmevent19, CSR_MHPMEVENT19)
-DECLARE_CSR(mhpmevent20, CSR_MHPMEVENT20)
-DECLARE_CSR(mhpmevent21, CSR_MHPMEVENT21)
-DECLARE_CSR(mhpmevent22, CSR_MHPMEVENT22)
-DECLARE_CSR(mhpmevent23, CSR_MHPMEVENT23)
-DECLARE_CSR(mhpmevent24, CSR_MHPMEVENT24)
-DECLARE_CSR(mhpmevent25, CSR_MHPMEVENT25)
-DECLARE_CSR(mhpmevent26, CSR_MHPMEVENT26)
-DECLARE_CSR(mhpmevent27, CSR_MHPMEVENT27)
-DECLARE_CSR(mhpmevent28, CSR_MHPMEVENT28)
-DECLARE_CSR(mhpmevent29, CSR_MHPMEVENT29)
-DECLARE_CSR(mhpmevent30, CSR_MHPMEVENT30)
-DECLARE_CSR(mhpmevent31, CSR_MHPMEVENT31)
-DECLARE_CSR(mvendorid, CSR_MVENDORID)
-DECLARE_CSR(marchid, CSR_MARCHID)
-DECLARE_CSR(mimpid, CSR_MIMPID)
-DECLARE_CSR(mhartid, CSR_MHARTID)
-DECLARE_CSR(cycleh, CSR_CYCLEH)
-DECLARE_CSR(timeh, CSR_TIMEH)
-DECLARE_CSR(instreth, CSR_INSTRETH)
-DECLARE_CSR(hpmcounter3h, CSR_HPMCOUNTER3H)
-DECLARE_CSR(hpmcounter4h, CSR_HPMCOUNTER4H)
-DECLARE_CSR(hpmcounter5h, CSR_HPMCOUNTER5H)
-DECLARE_CSR(hpmcounter6h, CSR_HPMCOUNTER6H)
-DECLARE_CSR(hpmcounter7h, CSR_HPMCOUNTER7H)
-DECLARE_CSR(hpmcounter8h, CSR_HPMCOUNTER8H)
-DECLARE_CSR(hpmcounter9h, CSR_HPMCOUNTER9H)
-DECLARE_CSR(hpmcounter10h, CSR_HPMCOUNTER10H)
-DECLARE_CSR(hpmcounter11h, CSR_HPMCOUNTER11H)
-DECLARE_CSR(hpmcounter12h, CSR_HPMCOUNTER12H)
-DECLARE_CSR(hpmcounter13h, CSR_HPMCOUNTER13H)
-DECLARE_CSR(hpmcounter14h, CSR_HPMCOUNTER14H)
-DECLARE_CSR(hpmcounter15h, CSR_HPMCOUNTER15H)
-DECLARE_CSR(hpmcounter16h, CSR_HPMCOUNTER16H)
-DECLARE_CSR(hpmcounter17h, CSR_HPMCOUNTER17H)
-DECLARE_CSR(hpmcounter18h, CSR_HPMCOUNTER18H)
-DECLARE_CSR(hpmcounter19h, CSR_HPMCOUNTER19H)
-DECLARE_CSR(hpmcounter20h, CSR_HPMCOUNTER20H)
-DECLARE_CSR(hpmcounter21h, CSR_HPMCOUNTER21H)
-DECLARE_CSR(hpmcounter22h, CSR_HPMCOUNTER22H)
-DECLARE_CSR(hpmcounter23h, CSR_HPMCOUNTER23H)
-DECLARE_CSR(hpmcounter24h, CSR_HPMCOUNTER24H)
-DECLARE_CSR(hpmcounter25h, CSR_HPMCOUNTER25H)
-DECLARE_CSR(hpmcounter26h, CSR_HPMCOUNTER26H)
-DECLARE_CSR(hpmcounter27h, CSR_HPMCOUNTER27H)
-DECLARE_CSR(hpmcounter28h, CSR_HPMCOUNTER28H)
-DECLARE_CSR(hpmcounter29h, CSR_HPMCOUNTER29H)
-DECLARE_CSR(hpmcounter30h, CSR_HPMCOUNTER30H)
-DECLARE_CSR(hpmcounter31h, CSR_HPMCOUNTER31H)
-DECLARE_CSR(mcycleh, CSR_MCYCLEH)
-DECLARE_CSR(minstreth, CSR_MINSTRETH)
-DECLARE_CSR(mhpmcounter3h, CSR_MHPMCOUNTER3H)
-DECLARE_CSR(mhpmcounter4h, CSR_MHPMCOUNTER4H)
-DECLARE_CSR(mhpmcounter5h, CSR_MHPMCOUNTER5H)
-DECLARE_CSR(mhpmcounter6h, CSR_MHPMCOUNTER6H)
-DECLARE_CSR(mhpmcounter7h, CSR_MHPMCOUNTER7H)
-DECLARE_CSR(mhpmcounter8h, CSR_MHPMCOUNTER8H)
-DECLARE_CSR(mhpmcounter9h, CSR_MHPMCOUNTER9H)
-DECLARE_CSR(mhpmcounter10h, CSR_MHPMCOUNTER10H)
-DECLARE_CSR(mhpmcounter11h, CSR_MHPMCOUNTER11H)
-DECLARE_CSR(mhpmcounter12h, CSR_MHPMCOUNTER12H)
-DECLARE_CSR(mhpmcounter13h, CSR_MHPMCOUNTER13H)
-DECLARE_CSR(mhpmcounter14h, CSR_MHPMCOUNTER14H)
-DECLARE_CSR(mhpmcounter15h, CSR_MHPMCOUNTER15H)
-DECLARE_CSR(mhpmcounter16h, CSR_MHPMCOUNTER16H)
-DECLARE_CSR(mhpmcounter17h, CSR_MHPMCOUNTER17H)
-DECLARE_CSR(mhpmcounter18h, CSR_MHPMCOUNTER18H)
-DECLARE_CSR(mhpmcounter19h, CSR_MHPMCOUNTER19H)
-DECLARE_CSR(mhpmcounter20h, CSR_MHPMCOUNTER20H)
-DECLARE_CSR(mhpmcounter21h, CSR_MHPMCOUNTER21H)
-DECLARE_CSR(mhpmcounter22h, CSR_MHPMCOUNTER22H)
-DECLARE_CSR(mhpmcounter23h, CSR_MHPMCOUNTER23H)
-DECLARE_CSR(mhpmcounter24h, CSR_MHPMCOUNTER24H)
-DECLARE_CSR(mhpmcounter25h, CSR_MHPMCOUNTER25H)
-DECLARE_CSR(mhpmcounter26h, CSR_MHPMCOUNTER26H)
-DECLARE_CSR(mhpmcounter27h, CSR_MHPMCOUNTER27H)
-DECLARE_CSR(mhpmcounter28h, CSR_MHPMCOUNTER28H)
-DECLARE_CSR(mhpmcounter29h, CSR_MHPMCOUNTER29H)
-DECLARE_CSR(mhpmcounter30h, CSR_MHPMCOUNTER30H)
-DECLARE_CSR(mhpmcounter31h, CSR_MHPMCOUNTER31H)
-#endif
-#ifdef DECLARE_CAUSE
-DECLARE_CAUSE("misaligned fetch", CAUSE_MISALIGNED_FETCH)
-DECLARE_CAUSE("fault fetch", CAUSE_FAULT_FETCH)
-DECLARE_CAUSE("illegal instruction", CAUSE_ILLEGAL_INSTRUCTION)
-DECLARE_CAUSE("breakpoint", CAUSE_BREAKPOINT)
-DECLARE_CAUSE("misaligned load", CAUSE_MISALIGNED_LOAD)
-DECLARE_CAUSE("fault load", CAUSE_FAULT_LOAD)
-DECLARE_CAUSE("misaligned store", CAUSE_MISALIGNED_STORE)
-DECLARE_CAUSE("fault store", CAUSE_FAULT_STORE)
-DECLARE_CAUSE("user_ecall", CAUSE_USER_ECALL)
-DECLARE_CAUSE("supervisor_ecall", CAUSE_SUPERVISOR_ECALL)
-DECLARE_CAUSE("hypervisor_ecall", CAUSE_HYPERVISOR_ECALL)
-DECLARE_CAUSE("machine_ecall", CAUSE_MACHINE_ECALL)
-#endif
diff --git a/bsp/env/entry.S b/bsp/env/entry.S
deleted file mode 100644
index 261b2a4..0000000
--- a/bsp/env/entry.S
+++ /dev/null
@@ -1,98 +0,0 @@
-// See LICENSE for license details
-
-#ifndef ENTRY_S
-#define ENTRY_S
-
-#include "encoding.h"
-#include "sifive/bits.h"
-
- .section .text.entry
- .align 2
- .weak trap_entry
- .global trap_entry
-trap_entry:
- addi sp, sp, -32*REGBYTES
-
- STORE x1, 1*REGBYTES(sp)
- STORE x2, 2*REGBYTES(sp)
- STORE x3, 3*REGBYTES(sp)
- STORE x4, 4*REGBYTES(sp)
- STORE x5, 5*REGBYTES(sp)
- STORE x6, 6*REGBYTES(sp)
- STORE x7, 7*REGBYTES(sp)
- STORE x8, 8*REGBYTES(sp)
- STORE x9, 9*REGBYTES(sp)
- STORE x10, 10*REGBYTES(sp)
- STORE x11, 11*REGBYTES(sp)
- STORE x12, 12*REGBYTES(sp)
- STORE x13, 13*REGBYTES(sp)
- STORE x14, 14*REGBYTES(sp)
- STORE x15, 15*REGBYTES(sp)
- STORE x16, 16*REGBYTES(sp)
- STORE x17, 17*REGBYTES(sp)
- STORE x18, 18*REGBYTES(sp)
- STORE x19, 19*REGBYTES(sp)
- STORE x20, 20*REGBYTES(sp)
- STORE x21, 21*REGBYTES(sp)
- STORE x22, 22*REGBYTES(sp)
- STORE x23, 23*REGBYTES(sp)
- STORE x24, 24*REGBYTES(sp)
- STORE x25, 25*REGBYTES(sp)
- STORE x26, 26*REGBYTES(sp)
- STORE x27, 27*REGBYTES(sp)
- STORE x28, 28*REGBYTES(sp)
- STORE x29, 29*REGBYTES(sp)
- STORE x30, 30*REGBYTES(sp)
- STORE x31, 31*REGBYTES(sp)
-
- csrr a0, mcause
- csrr a1, mepc
- mv a2, sp
- call handle_trap
- csrw mepc, a0
-
- # Remain in M-mode after mret
- li t0, MSTATUS_MPP
- csrs mstatus, t0
-
- LOAD x1, 1*REGBYTES(sp)
- LOAD x2, 2*REGBYTES(sp)
- LOAD x3, 3*REGBYTES(sp)
- LOAD x4, 4*REGBYTES(sp)
- LOAD x5, 5*REGBYTES(sp)
- LOAD x6, 6*REGBYTES(sp)
- LOAD x7, 7*REGBYTES(sp)
- LOAD x8, 8*REGBYTES(sp)
- LOAD x9, 9*REGBYTES(sp)
- LOAD x10, 10*REGBYTES(sp)
- LOAD x11, 11*REGBYTES(sp)
- LOAD x12, 12*REGBYTES(sp)
- LOAD x13, 13*REGBYTES(sp)
- LOAD x14, 14*REGBYTES(sp)
- LOAD x15, 15*REGBYTES(sp)
- LOAD x16, 16*REGBYTES(sp)
- LOAD x17, 17*REGBYTES(sp)
- LOAD x18, 18*REGBYTES(sp)
- LOAD x19, 19*REGBYTES(sp)
- LOAD x20, 20*REGBYTES(sp)
- LOAD x21, 21*REGBYTES(sp)
- LOAD x22, 22*REGBYTES(sp)
- LOAD x23, 23*REGBYTES(sp)
- LOAD x24, 24*REGBYTES(sp)
- LOAD x25, 25*REGBYTES(sp)
- LOAD x26, 26*REGBYTES(sp)
- LOAD x27, 27*REGBYTES(sp)
- LOAD x28, 28*REGBYTES(sp)
- LOAD x29, 29*REGBYTES(sp)
- LOAD x30, 30*REGBYTES(sp)
- LOAD x31, 31*REGBYTES(sp)
-
- addi sp, sp, 32*REGBYTES
- mret
-
-.weak handle_trap
-handle_trap:
-1:
- j 1b
-
-#endif
diff --git a/bsp/env/freedom-e300-arty/flash.lds b/bsp/env/freedom-e300-arty/flash.lds
deleted file mode 120000
index 6441ce5..0000000
--- a/bsp/env/freedom-e300-arty/flash.lds
+++ /dev/null
@@ -1 +0,0 @@
-../freedom-e300-hifive1/flash.lds \ No newline at end of file
diff --git a/bsp/env/freedom-e300-arty/init.c b/bsp/env/freedom-e300-arty/init.c
deleted file mode 100644
index a6f4b39..0000000
--- a/bsp/env/freedom-e300-arty/init.c
+++ /dev/null
@@ -1,87 +0,0 @@
-//See LICENSE for license details.
-#include <stdint.h>
-#include <stdio.h>
-#include <unistd.h>
-
-#include "platform.h"
-#include "encoding.h"
-
-extern int main(int argc, char** argv);
-extern void trap_entry();
-
-static unsigned long get_cpu_freq()
-{
- return 65000000;
-}
-
-unsigned long get_timer_freq()
-{
- return get_cpu_freq();
-}
-
-uint64_t get_timer_value()
-{
-#if __riscv_xlen == 32
- while (1) {
- uint32_t hi = read_csr(mcycleh);
- uint32_t lo = read_csr(mcycle);
- if (hi == read_csr(mcycleh))
- return ((uint64_t)hi << 32) | lo;
- }
-#else
- return read_csr(mcycle);
-#endif
-}
-
-static void uart_init(size_t baud_rate)
-{
- GPIO_REG(GPIO_IOF_SEL) &= ~IOF0_UART0_MASK;
- GPIO_REG(GPIO_IOF_EN) |= IOF0_UART0_MASK;
- UART0_REG(UART_REG_DIV) = get_cpu_freq() / baud_rate - 1;
- UART0_REG(UART_REG_TXCTRL) |= UART_TXEN;
-}
-
-
-#ifdef USE_PLIC
-extern void handle_m_ext_interrupt();
-#endif
-
-#ifdef USE_M_TIME
-extern void handle_m_time_interrupt();
-#endif
-
-uintptr_t handle_trap(uintptr_t mcause, uintptr_t epc)
-{
- if (0){
-#ifdef USE_PLIC
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_EXT)) {
- handle_m_ext_interrupt();
-#endif
-#ifdef USE_M_TIME
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_TIMER)){
- handle_m_time_interrupt();
-#endif
- }
- else {
- write(1, "Unhandled Trap:\n", 16);
- _exit(1 + mcause);
- }
- return epc;
-}
-
-void _init()
-{
- #ifndef NO_INIT
- uart_init(115200);
-
- printf("core freq at %d Hz\n", get_cpu_freq());
-
- write_csr(mtvec, &trap_entry);
- #endif
-}
-
-void _fini()
-{
-}
diff --git a/bsp/env/freedom-e300-arty/openocd.cfg b/bsp/env/freedom-e300-arty/openocd.cfg
deleted file mode 100644
index ba13207..0000000
--- a/bsp/env/freedom-e300-arty/openocd.cfg
+++ /dev/null
@@ -1,30 +0,0 @@
-adapter_khz 10000
-
-#source [find interface/ftdi/olimex-arm-usb-tiny-h.cfg]
-
-interface ftdi
-ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H"
-ftdi_vid_pid 0x15ba 0x002a
-
-ftdi_layout_init 0x0808 0x0a1b
-ftdi_layout_signal nSRST -oe 0x0200
-ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100
-ftdi_layout_signal LED -data 0x0800
-#
-
-set _CHIPNAME riscv
-jtag newtap $_CHIPNAME cpu -irlen 5
-
-set _TARGETNAME $_CHIPNAME.cpu
-target create $_TARGETNAME riscv -chain-position $_TARGETNAME
-$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1
-
-flash bank my_first_flash fespi 0x20000000 0 0 0 $_TARGETNAME 0x10014000
-init
-#reset
-if {[ info exists pulse_srst]} {
- ftdi_set_signal nSRST 0
- ftdi_set_signal nSRST z
-}
-halt
-#flash protect 0 64 last off
diff --git a/bsp/env/freedom-e300-arty/platform.h b/bsp/env/freedom-e300-arty/platform.h
deleted file mode 100644
index 8ff7ae6..0000000
--- a/bsp/env/freedom-e300-arty/platform.h
+++ /dev/null
@@ -1,124 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PLATFORM_H
-#define _SIFIVE_PLATFORM_H
-
-// Some things missing from the official encoding.h
-#define MCAUSE_INT 0x80000000
-#define MCAUSE_CAUSE 0x7FFFFFFF
-
-#include "sifive/const.h"
-#include "sifive/devices/aon.h"
-#include "sifive/devices/clint.h"
-#include "sifive/devices/gpio.h"
-#include "sifive/devices/plic.h"
-#include "sifive/devices/pwm.h"
-#include "sifive/devices/spi.h"
-#include "sifive/devices/uart.h"
-
-/****************************************************************************
- * Platform definitions
- *****************************************************************************/
-
-#define TRAPVEC_TABLE_CTRL_ADDR _AC(0x00001010,UL)
-#define CLINT_CTRL_ADDR _AC(0x02000000,UL)
-#define PLIC_CTRL_ADDR _AC(0x0C000000,UL)
-#define AON_CTRL_ADDR _AC(0x10000000,UL)
-#define GPIO_CTRL_ADDR _AC(0x10012000,UL)
-#define UART0_CTRL_ADDR _AC(0x10013000,UL)
-#define SPI0_CTRL_ADDR _AC(0x10014000,UL)
-#define PWM0_CTRL_ADDR _AC(0x10015000,UL)
-#define UART1_CTRL_ADDR _AC(0x10023000,UL)
-#define SPI1_CTRL_ADDR _AC(0x10024000,UL)
-#define PWM1_CTRL_ADDR _AC(0x10025000,UL)
-#define SPI2_CTRL_ADDR _AC(0x10034000,UL)
-#define PWM2_CTRL_ADDR _AC(0x10035000,UL)
-#define SPI0_MMAP_ADDR _AC(0x20000000,UL)
-#define MEM_CTRL_ADDR _AC(0x80000000,UL)
-
-// IOF Mappings
-#define IOF0_SPI1_MASK _AC(0x000007FC,UL)
-#define SPI11_NUM_SS (4)
-#define IOF_SPI1_SS0 (2u)
-#define IOF_SPI1_SS1 (8u)
-#define IOF_SPI1_SS2 (9u)
-#define IOF_SPI1_SS3 (10u)
-#define IOF_SPI1_MOSI (3u)
-#define IOF_SPI1_MISO (4u)
-#define IOF_SPI1_SCK (5u)
-#define IOF_SPI1_DQ0 (3u)
-#define IOF_SPI1_DQ1 (4u)
-#define IOF_SPI1_DQ2 (6u)
-#define IOF_SPI1_DQ3 (7u)
-
-#define IOF0_SPI2_MASK _AC(0xFC000000,UL)
-#define SPI2_NUM_SS (1)
-#define IOF_SPI2_SS0 (26u)
-#define IOF_SPI2_MOSI (27u)
-#define IOF_SPI2_MISO (28u)
-#define IOF_SPI2_SCK (29u)
-#define IOF_SPI2_DQ0 (27u)
-#define IOF_SPI2_DQ1 (28u)
-#define IOF_SPI2_DQ2 (30u)
-#define IOF_SPI2_DQ3 (31u)
-
-#define IOF0_UART0_MASK _AC(0x00030000, UL)
-#define IOF_UART0_RX (16u)
-#define IOF_UART0_TX (17u)
-
-#define IOF0_UART1_MASK _AC(0x03000000, UL)
-#define IOF_UART1_RX (24u)
-#define IOF_UART1_TX (25u)
-
-#define IOF1_PWM0_MASK _AC(0x0000000F, UL)
-#define IOF1_PWM1_MASK _AC(0x00780000, UL)
-#define IOF1_PWM2_MASK _AC(0x00003C00, UL)
-
-// Interrupt Numbers
-#define INT_RESERVED 0
-#define INT_WDOGCMP 1
-#define INT_RTCCMP 2
-#define INT_UART0_BASE 3
-#define INT_UART1_BASE 4
-#define INT_SPI0_BASE 5
-#define INT_SPI1_BASE 6
-#define INT_SPI2_BASE 7
-#define INT_GPIO_BASE 8
-#define INT_PWM0_BASE 40
-#define INT_PWM1_BASE 44
-#define INT_PWM2_BASE 48
-
-// Helper functions
-#define _REG32(p, i) (*(volatile uint32_t *) ((p) + (i)))
-#define _REG32P(p, i) ((volatile uint32_t *) ((p) + (i)))
-#define AON_REG(offset) _REG32(AON_CTRL_ADDR, offset)
-#define CLINT_REG(offset) _REG32(CLINT_CTRL_ADDR, offset)
-#define GPIO_REG(offset) _REG32(GPIO_CTRL_ADDR, offset)
-#define OTP_REG(offset) _REG32(OTP_CTRL_ADDR, offset)
-#define PLIC_REG(offset) _REG32(PLIC_CTRL_ADDR, offset)
-#define PWM0_REG(offset) _REG32(PWM0_CTRL_ADDR, offset)
-#define PWM1_REG(offset) _REG32(PWM1_CTRL_ADDR, offset)
-#define PWM2_REG(offset) _REG32(PWM2_CTRL_ADDR, offset)
-#define SPI0_REG(offset) _REG32(SPI0_CTRL_ADDR, offset)
-#define SPI1_REG(offset) _REG32(SPI1_CTRL_ADDR, offset)
-#define SPI2_REG(offset) _REG32(SPI2_CTRL_ADDR, offset)
-#define UART0_REG(offset) _REG32(UART0_CTRL_ADDR, offset)
-#define UART1_REG(offset) _REG32(UART1_CTRL_ADDR, offset)
-
-// Misc
-
-#include <stdint.h>
-
-
-#define NUM_GPIO 32
-
-#define PLIC_NUM_INTERRUPTS 52
-#define PLIC_NUM_PRIORITIES 7
-
-#define HAS_BOARD_BUTTONS
-#include "hifive1.h"
-
-unsigned long get_timer_freq(void);
-uint64_t get_timer_value(void);
-
-#endif /* _SIFIVE_PLATFORM_H */
diff --git a/bsp/env/freedom-e300-arty/settings.mk b/bsp/env/freedom-e300-arty/settings.mk
deleted file mode 100644
index 230fccc..0000000
--- a/bsp/env/freedom-e300-arty/settings.mk
+++ /dev/null
@@ -1,3 +0,0 @@
-# Describes the CPU on this board to the rest of the SDK.
-RISCV_ARCH := rv32imac
-RISCV_ABI := ilp32
diff --git a/bsp/env/freedom-e300-hifive1/dhrystone.lds b/bsp/env/freedom-e300-hifive1/dhrystone.lds
deleted file mode 100644
index cc9cd9b..0000000
--- a/bsp/env/freedom-e300-hifive1/dhrystone.lds
+++ /dev/null
@@ -1,157 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x20400000, LENGTH = 512M
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 2K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/freedom-e300-hifive1/flash.lds b/bsp/env/freedom-e300-hifive1/flash.lds
deleted file mode 100644
index 6b37141..0000000
--- a/bsp/env/freedom-e300-hifive1/flash.lds
+++ /dev/null
@@ -1,161 +0,0 @@
-OUTPUT_ARCH( "riscv" )
-
-ENTRY( _start )
-
-MEMORY
-{
- flash (rxai!w) : ORIGIN = 0x20400000, LENGTH = 512M
- ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K
-}
-
-PHDRS
-{
- flash PT_LOAD;
- ram_init PT_LOAD;
- ram PT_NULL;
-}
-
-SECTIONS
-{
- __stack_size = DEFINED(__stack_size) ? __stack_size : 2K;
-
- .init :
- {
- KEEP (*(SORT_NONE(.init)))
- } >flash AT>flash :flash
-
- .text :
- {
- *(.text.unlikely .text.unlikely.*)
- *(.text.startup .text.startup.*)
- *(.text .text.*)
- *(.gnu.linkonce.t.*)
- } >flash AT>flash :flash
-
- .fini :
- {
- KEEP (*(SORT_NONE(.fini)))
- } >flash AT>flash :flash
-
- PROVIDE (__etext = .);
- PROVIDE (_etext = .);
- PROVIDE (etext = .);
-
- .rodata :
- {
- *(.rdata)
- *(.rodata .rodata.*)
- *(.gnu.linkonce.r.*)
- } >flash AT>flash :flash
-
- . = ALIGN(4);
-
- .preinit_array :
- {
- PROVIDE_HIDDEN (__preinit_array_start = .);
- KEEP (*(.preinit_array))
- PROVIDE_HIDDEN (__preinit_array_end = .);
- } >flash AT>flash :flash
-
- .init_array :
- {
- PROVIDE_HIDDEN (__init_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*)))
- KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
- PROVIDE_HIDDEN (__init_array_end = .);
- } >flash AT>flash :flash
-
- .fini_array :
- {
- PROVIDE_HIDDEN (__fini_array_start = .);
- KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*)))
- KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors))
- PROVIDE_HIDDEN (__fini_array_end = .);
- } >flash AT>flash :flash
-
- .ctors :
- {
- /* gcc uses crtbegin.o to find the start of
- the constructors, so we make sure it is
- first. Because this is a wildcard, it
- doesn't matter if the user does not
- actually link against crtbegin.o; the
- linker won't look for a file to match a
- wildcard. The wildcard also means that it
- doesn't matter which directory crtbegin.o
- is in. */
- KEEP (*crtbegin.o(.ctors))
- KEEP (*crtbegin?.o(.ctors))
- /* We don't want to include the .ctor section from
- the crtend.o file until after the sorted ctors.
- The .ctor section from the crtend file contains the
- end of ctors marker and it must be last */
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors))
- KEEP (*(SORT(.ctors.*)))
- KEEP (*(.ctors))
- } >flash AT>flash :flash
-
- .dtors :
- {
- KEEP (*crtbegin.o(.dtors))
- KEEP (*crtbegin?.o(.dtors))
- KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors))
- KEEP (*(SORT(.dtors.*)))
- KEEP (*(.dtors))
- } >flash AT>flash :flash
-
- .lalign :
- {
- . = ALIGN(4);
- PROVIDE( _data_lma = . );
- } >flash AT>flash :flash
-
- .dalign :
- {
- . = ALIGN(4);
- PROVIDE( _data = . );
- } >ram AT>flash :ram_init
-
- .data :
- {
- *(.data .data.*)
- *(.gnu.linkonce.d.*)
- . = ALIGN(8);
- PROVIDE( __global_pointer$ = . + 0x800 );
- *(.sdata .sdata.*)
- *(.gnu.linkonce.s.*)
- . = ALIGN(8);
- *(.srodata.cst16)
- *(.srodata.cst8)
- *(.srodata.cst4)
- *(.srodata.cst2)
- *(.srodata .srodata.*)
- } >ram AT>flash :ram_init
-
- . = ALIGN(4);
- PROVIDE( _edata = . );
- PROVIDE( edata = . );
-
- PROVIDE( _fbss = . );
- PROVIDE( __bss_start = . );
- .bss :
- {
- *(.sbss*)
- *(.gnu.linkonce.sb.*)
- *(.bss .bss.*)
- *(.gnu.linkonce.b.*)
- *(COMMON)
- . = ALIGN(4);
- } >ram AT>ram :ram
-
- . = ALIGN(8);
- PROVIDE( _end = . );
- PROVIDE( end = . );
-
- .stack ORIGIN(ram) + LENGTH(ram) - __stack_size :
- {
- PROVIDE( _heap_end = . );
- . = __stack_size;
- PROVIDE( _sp = . );
- } >ram AT>ram :ram
-}
diff --git a/bsp/env/freedom-e300-hifive1/init.c b/bsp/env/freedom-e300-hifive1/init.c
deleted file mode 100644
index 621a6e2..0000000
--- a/bsp/env/freedom-e300-hifive1/init.c
+++ /dev/null
@@ -1,238 +0,0 @@
-#include <stdint.h>
-#include <stdio.h>
-#include <unistd.h>
-
-#include "platform.h"
-#include "encoding.h"
-
-extern int main(int argc, char** argv);
-extern void trap_entry();
-
-static unsigned long mtime_lo(void)
-{
- return *(volatile unsigned long *)(CLINT_CTRL_ADDR + CLINT_MTIME);
-}
-
-#ifdef __riscv32
-
-static uint32_t mtime_hi(void)
-{
- return *(volatile uint32_t *)(CLINT_CTRL_ADDR + CLINT_MTIME + 4);
-}
-
-uint64_t get_timer_value()
-{
- while (1) {
- uint32_t hi = mtime_hi();
- uint32_t lo = mtime_lo();
- if (hi == mtime_hi())
- return ((uint64_t)hi << 32) | lo;
- }
-}
-
-#else /* __riscv32 */
-
-uint64_t get_timer_value()
-{
- return mtime_lo();
-}
-
-#endif
-
-unsigned long get_timer_freq()
-{
- return 32768;
-}
-
-static void use_hfrosc(int div, int trim)
-{
- // Make sure the HFROSC is running at its default setting
- PRCI_REG(PRCI_HFROSCCFG) = (ROSC_DIV(div) | ROSC_TRIM(trim) | ROSC_EN(1));
- while ((PRCI_REG(PRCI_HFROSCCFG) & ROSC_RDY(1)) == 0) ;
- PRCI_REG(PRCI_PLLCFG) &= ~PLL_SEL(1);
-}
-
-static void use_pll(int refsel, int bypass, int r, int f, int q)
-{
- // Ensure that we aren't running off the PLL before we mess with it.
- if (PRCI_REG(PRCI_PLLCFG) & PLL_SEL(1)) {
- // Make sure the HFROSC is running at its default setting
- use_hfrosc(4, 16);
- }
-
- // Set PLL Source to be HFXOSC if available.
- uint32_t config_value = 0;
-
- config_value |= PLL_REFSEL(refsel);
-
- if (bypass) {
- // Bypass
- config_value |= PLL_BYPASS(1);
-
- PRCI_REG(PRCI_PLLCFG) = config_value;
-
- // If we don't have an HFXTAL, this doesn't really matter.
- // Set our Final output divide to divide-by-1:
- PRCI_REG(PRCI_PLLDIV) = (PLL_FINAL_DIV_BY_1(1) | PLL_FINAL_DIV(0));
- } else {
- // In case we are executing from QSPI,
- // (which is quite likely) we need to
- // set the QSPI clock divider appropriately
- // before boosting the clock frequency.
-
- // Div = f_sck/2
- SPI0_REG(SPI_REG_SCKDIV) = 8;
-
- // Set DIV Settings for PLL
- // Both HFROSC and HFXOSC are modeled as ideal
- // 16MHz sources (assuming dividers are set properly for
- // HFROSC).
- // (Legal values of f_REF are 6-48MHz)
-
- // Set DIVR to divide-by-2 to get 8MHz frequency
- // (legal values of f_R are 6-12 MHz)
-
- config_value |= PLL_BYPASS(1);
- config_value |= PLL_R(r);
-
- // Set DIVF to get 512Mhz frequncy
- // There is an implied multiply-by-2, 16Mhz.
- // So need to write 32-1
- // (legal values of f_F are 384-768 MHz)
- config_value |= PLL_F(f);
-
- // Set DIVQ to divide-by-2 to get 256 MHz frequency
- // (legal values of f_Q are 50-400Mhz)
- config_value |= PLL_Q(q);
-
- // Set our Final output divide to divide-by-1:
- PRCI_REG(PRCI_PLLDIV) = (PLL_FINAL_DIV_BY_1(1) | PLL_FINAL_DIV(0));
-
- PRCI_REG(PRCI_PLLCFG) = config_value;
-
- // Un-Bypass the PLL.
- PRCI_REG(PRCI_PLLCFG) &= ~PLL_BYPASS(1);
-
- // Wait for PLL Lock
- // Note that the Lock signal can be glitchy.
- // Need to wait 100 us
- // RTC is running at 32kHz.
- // So wait 4 ticks of RTC.
- uint32_t now = mtime_lo();
- while (mtime_lo() - now < 4) ;
-
- // Now it is safe to check for PLL Lock
- while ((PRCI_REG(PRCI_PLLCFG) & PLL_LOCK(1)) == 0) ;
- }
-
- // Switch over to PLL Clock source
- PRCI_REG(PRCI_PLLCFG) |= PLL_SEL(1);
-}
-
-static void use_default_clocks()
-{
- // Turn off the LFROSC
- AON_REG(AON_LFROSC) &= ~ROSC_EN(1);
-
- // Use HFROSC
- use_hfrosc(4, 16);
-}
-
-static unsigned long __attribute__((noinline)) measure_cpu_freq(size_t n)
-{
- unsigned long start_mtime, delta_mtime;
- unsigned long mtime_freq = get_timer_freq();
-
- // Don't start measuruing until we see an mtime tick
- unsigned long tmp = mtime_lo();
- do {
- start_mtime = mtime_lo();
- } while (start_mtime == tmp);
-
- unsigned long start_mcycle = read_csr(mcycle);
-
- do {
- delta_mtime = mtime_lo() - start_mtime;
- } while (delta_mtime < n);
-
- unsigned long delta_mcycle = read_csr(mcycle) - start_mcycle;
-
- return (delta_mcycle / delta_mtime) * mtime_freq
- + ((delta_mcycle % delta_mtime) * mtime_freq) / delta_mtime;
-}
-
-unsigned long get_cpu_freq()
-{
- static uint32_t cpu_freq;
-
- if (!cpu_freq) {
- // warm up I$
- measure_cpu_freq(1);
- // measure for real
- cpu_freq = measure_cpu_freq(10);
- }
-
- return cpu_freq;
-}
-
-static void uart_init(size_t baud_rate)
-{
- GPIO_REG(GPIO_IOF_SEL) &= ~IOF0_UART0_MASK;
- GPIO_REG(GPIO_IOF_EN) |= IOF0_UART0_MASK;
- UART0_REG(UART_REG_DIV) = get_cpu_freq() / baud_rate - 1;
- UART0_REG(UART_REG_TXCTRL) |= UART_TXEN;
-}
-
-
-
-#ifdef USE_PLIC
-extern void handle_m_ext_interrupt();
-#endif
-
-#ifdef USE_M_TIME
-extern void handle_m_time_interrupt();
-#endif
-
-uintptr_t handle_trap(uintptr_t mcause, uintptr_t epc)
-{
- if (0){
-#ifdef USE_PLIC
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_EXT)) {
- handle_m_ext_interrupt();
-#endif
-#ifdef USE_M_TIME
- // External Machine-Level interrupt from PLIC
- } else if ((mcause & MCAUSE_INT) && ((mcause & MCAUSE_CAUSE) == IRQ_M_TIMER)){
- handle_m_time_interrupt();
-#endif
- }
- else {
- write(1, "trap\n", 5);
- _exit(1 + mcause);
- }
- return epc;
-}
-
-void _init()
-{
-
- #ifndef NO_INIT
- use_default_clocks();
- use_pll(0, 0, 1, 31, 1);
- uart_init(115200);
-
- printf("core freq at %d Hz\n", get_cpu_freq());
-
- write_csr(mtvec, &trap_entry);
- if (read_csr(misa) & (1 << ('F' - 'A'))) { // if F extension is present
- write_csr(mstatus, MSTATUS_FS); // allow FPU instructions without trapping
- write_csr(fcsr, 0); // initialize rounding mode, undefined at reset
- }
- #endif
-
-}
-
-void _fini()
-{
-}
diff --git a/bsp/env/freedom-e300-hifive1/openocd.cfg b/bsp/env/freedom-e300-hifive1/openocd.cfg
deleted file mode 100644
index b531e9c..0000000
--- a/bsp/env/freedom-e300-hifive1/openocd.cfg
+++ /dev/null
@@ -1,34 +0,0 @@
-adapter_khz 10000
-
-interface ftdi
-ftdi_device_desc "Dual RS232-HS"
-ftdi_vid_pid 0x0403 0x6010
-
-ftdi_layout_init 0x0008 0x001b
-ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020
-
-#Reset Stretcher logic on FE310 is ~1 second long
-#This doesn't apply if you use
-# ftdi_set_signal, but still good to document
-#adapter_nsrst_delay 1500
-
-set _CHIPNAME riscv
-jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913
-
-set _TARGETNAME $_CHIPNAME.cpu
-target create $_TARGETNAME riscv -chain-position $_TARGETNAME
-$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1
-
-flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME
-init
-#reset -- This type of reset is not implemented yet
-if {[ info exists pulse_srst]} {
- ftdi_set_signal nSRST 0
- ftdi_set_signal nSRST z
- #Wait for the reset stretcher
- #It will work without this, but
- #will incur lots of delays for later commands.
- sleep 1500
-}
-halt
-#flash protect 0 64 last off
diff --git a/bsp/env/freedom-e300-hifive1/platform.h b/bsp/env/freedom-e300-hifive1/platform.h
deleted file mode 100644
index 806fcfc..0000000
--- a/bsp/env/freedom-e300-hifive1/platform.h
+++ /dev/null
@@ -1,133 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PLATFORM_H
-#define _SIFIVE_PLATFORM_H
-
-// Some things missing from the official encoding.h
-#define MCAUSE_INT 0x80000000
-#define MCAUSE_CAUSE 0x7FFFFFFF
-
-#include "sifive/const.h"
-#include "sifive/devices/aon.h"
-#include "sifive/devices/clint.h"
-#include "sifive/devices/gpio.h"
-#include "sifive/devices/otp.h"
-#include "sifive/devices/plic.h"
-#include "sifive/devices/prci.h"
-#include "sifive/devices/pwm.h"
-#include "sifive/devices/spi.h"
-#include "sifive/devices/uart.h"
-
-/****************************************************************************
- * Platform definitions
- *****************************************************************************/
-
-// Memory map
-#define MASKROM_MEM_ADDR _AC(0x00001000,UL)
-#define TRAPVEC_TABLE_CTRL_ADDR _AC(0x00001010,UL)
-#define OTP_MEM_ADDR _AC(0x00020000,UL)
-#define CLINT_CTRL_ADDR _AC(0x02000000,UL)
-#define PLIC_CTRL_ADDR _AC(0x0C000000,UL)
-#define AON_CTRL_ADDR _AC(0x10000000,UL)
-#define PRCI_CTRL_ADDR _AC(0x10008000,UL)
-#define OTP_CTRL_ADDR _AC(0x10010000,UL)
-#define GPIO_CTRL_ADDR _AC(0x10012000,UL)
-#define UART0_CTRL_ADDR _AC(0x10013000,UL)
-#define SPI0_CTRL_ADDR _AC(0x10014000,UL)
-#define PWM0_CTRL_ADDR _AC(0x10015000,UL)
-#define UART1_CTRL_ADDR _AC(0x10023000,UL)
-#define SPI1_CTRL_ADDR _AC(0x10024000,UL)
-#define PWM1_CTRL_ADDR _AC(0x10025000,UL)
-#define SPI2_CTRL_ADDR _AC(0x10034000,UL)
-#define PWM2_CTRL_ADDR _AC(0x10035000,UL)
-#define SPI0_MEM_ADDR _AC(0x20000000,UL)
-#define MEM_CTRL_ADDR _AC(0x80000000,UL)
-
-// IOF masks
-#define IOF0_SPI1_MASK _AC(0x000007FC,UL)
-#define SPI11_NUM_SS (4)
-#define IOF_SPI1_SS0 (2u)
-#define IOF_SPI1_SS1 (8u)
-#define IOF_SPI1_SS2 (9u)
-#define IOF_SPI1_SS3 (10u)
-#define IOF_SPI1_MOSI (3u)
-#define IOF_SPI1_MISO (4u)
-#define IOF_SPI1_SCK (5u)
-#define IOF_SPI1_DQ0 (3u)
-#define IOF_SPI1_DQ1 (4u)
-#define IOF_SPI1_DQ2 (6u)
-#define IOF_SPI1_DQ3 (7u)
-
-#define IOF0_SPI2_MASK _AC(0xFC000000,UL)
-#define SPI2_NUM_SS (1)
-#define IOF_SPI2_SS0 (26u)
-#define IOF_SPI2_MOSI (27u)
-#define IOF_SPI2_MISO (28u)
-#define IOF_SPI2_SCK (29u)
-#define IOF_SPI2_DQ0 (27u)
-#define IOF_SPI2_DQ1 (28u)
-#define IOF_SPI2_DQ2 (30u)
-#define IOF_SPI2_DQ3 (31u)
-
-//#define IOF0_I2C_MASK _AC(0x00003000,UL)
-
-#define IOF0_UART0_MASK _AC(0x00030000, UL)
-#define IOF_UART0_RX (16u)
-#define IOF_UART0_TX (17u)
-
-#define IOF0_UART1_MASK _AC(0x03000000, UL)
-#define IOF_UART1_RX (24u)
-#define IOF_UART1_TX (25u)
-
-#define IOF1_PWM0_MASK _AC(0x0000000F, UL)
-#define IOF1_PWM1_MASK _AC(0x00780000, UL)
-#define IOF1_PWM2_MASK _AC(0x00003C00, UL)
-
-// Interrupt numbers
-#define INT_RESERVED 0
-#define INT_WDOGCMP 1
-#define INT_RTCCMP 2
-#define INT_UART0_BASE 3
-#define INT_UART1_BASE 4
-#define INT_SPI0_BASE 5
-#define INT_SPI1_BASE 6
-#define INT_SPI2_BASE 7
-#define INT_GPIO_BASE 8
-#define INT_PWM0_BASE 40
-#define INT_PWM1_BASE 44
-#define INT_PWM2_BASE 48
-
-// Helper functions
-#define _REG32(p, i) (*(volatile uint32_t *) ((p) + (i)))
-#define _REG32P(p, i) ((volatile uint32_t *) ((p) + (i)))
-#define AON_REG(offset) _REG32(AON_CTRL_ADDR, offset)
-#define CLINT_REG(offset) _REG32(CLINT_CTRL_ADDR, offset)
-#define GPIO_REG(offset) _REG32(GPIO_CTRL_ADDR, offset)
-#define OTP_REG(offset) _REG32(OTP_CTRL_ADDR, offset)
-#define PLIC_REG(offset) _REG32(PLIC_CTRL_ADDR, offset)
-#define PRCI_REG(offset) _REG32(PRCI_CTRL_ADDR, offset)
-#define PWM0_REG(offset) _REG32(PWM0_CTRL_ADDR, offset)
-#define PWM1_REG(offset) _REG32(PWM1_CTRL_ADDR, offset)
-#define PWM2_REG(offset) _REG32(PWM2_CTRL_ADDR, offset)
-#define SPI0_REG(offset) _REG32(SPI0_CTRL_ADDR, offset)
-#define SPI1_REG(offset) _REG32(SPI1_CTRL_ADDR, offset)
-#define SPI2_REG(offset) _REG32(SPI2_CTRL_ADDR, offset)
-#define UART0_REG(offset) _REG32(UART0_CTRL_ADDR, offset)
-#define UART1_REG(offset) _REG32(UART1_CTRL_ADDR, offset)
-
-// Misc
-
-#include <stdint.h>
-
-#define NUM_GPIO 32
-
-#define PLIC_NUM_INTERRUPTS 52
-#define PLIC_NUM_PRIORITIES 7
-
-#include "hifive1.h"
-
-unsigned long get_cpu_freq(void);
-unsigned long get_timer_freq(void);
-uint64_t get_timer_value(void);
-
-#endif /* _SIFIVE_PLATFORM_H */
diff --git a/bsp/env/freedom-e300-hifive1/settings.mk b/bsp/env/freedom-e300-hifive1/settings.mk
deleted file mode 100644
index 230fccc..0000000
--- a/bsp/env/freedom-e300-hifive1/settings.mk
+++ /dev/null
@@ -1,3 +0,0 @@
-# Describes the CPU on this board to the rest of the SDK.
-RISCV_ARCH := rv32imac
-RISCV_ABI := ilp32
diff --git a/bsp/env/hifive1.h b/bsp/env/hifive1.h
deleted file mode 100644
index 0db2f0f..0000000
--- a/bsp/env/hifive1.h
+++ /dev/null
@@ -1,81 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_HIFIVE1_H
-#define _SIFIVE_HIFIVE1_H
-
-#include <stdint.h>
-
-/****************************************************************************
- * GPIO Connections
- *****************************************************************************/
-
-// These are the GPIO bit offsets for the RGB LED on HiFive1 Board.
-// These are also mapped to RGB LEDs on the Freedom E300 Arty
-// FPGA
-// Dev Kit.
-
-#define RED_LED_OFFSET 22
-#define GREEN_LED_OFFSET 19
-#define BLUE_LED_OFFSET 21
-
-// These are the GPIO bit offsets for the differen digital pins
-// on the headers for both the HiFive1 Board and the Freedom E300 Arty FPGA Dev Kit.
-#define PIN_0_OFFSET 16
-#define PIN_1_OFFSET 17
-#define PIN_2_OFFSET 18
-#define PIN_3_OFFSET 19
-#define PIN_4_OFFSET 20
-#define PIN_5_OFFSET 21
-#define PIN_6_OFFSET 22
-#define PIN_7_OFFSET 23
-#define PIN_8_OFFSET 0
-#define PIN_9_OFFSET 1
-#define PIN_10_OFFSET 2
-#define PIN_11_OFFSET 3
-#define PIN_12_OFFSET 4
-#define PIN_13_OFFSET 5
-//#define PIN_14_OFFSET 8 //This pin is not connected on either board.
-#define PIN_15_OFFSET 9
-#define PIN_16_OFFSET 10
-#define PIN_17_OFFSET 11
-#define PIN_18_OFFSET 12
-#define PIN_19_OFFSET 13
-
-// These are *PIN* numbers, not
-// GPIO Offset Numbers.
-#define PIN_SPI1_SCK (13u)
-#define PIN_SPI1_MISO (12u)
-#define PIN_SPI1_MOSI (11u)
-#define PIN_SPI1_SS0 (10u)
-#define PIN_SPI1_SS1 (14u)
-#define PIN_SPI1_SS2 (15u)
-#define PIN_SPI1_SS3 (16u)
-
-#define SS_PIN_TO_CS_ID(x) \
- ((x==PIN_SPI1_SS0 ? 0 : \
- (x==PIN_SPI1_SS1 ? 1 : \
- (x==PIN_SPI1_SS2 ? 2 : \
- (x==PIN_SPI1_SS3 ? 3 : \
- -1)))))
-
-
-// These buttons are present only on the Freedom E300 Arty Dev Kit.
-#ifdef HAS_BOARD_BUTTONS
-#define BUTTON_0_OFFSET 15
-#define BUTTON_1_OFFSET 30
-#define BUTTON_2_OFFSET 31
-
-#define INT_DEVICE_BUTTON_0 (INT_GPIO_BASE + BUTTON_0_OFFSET)
-#define INT_DEVICE_BUTTON_1 (INT_GPIO_BASE + BUTTON_1_OFFSET)
-#define INT_DEVICE_BUTTON_2 (INT_GPIO_BASE + BUTTON_2_OFFSET)
-
-#endif
-
-#define HAS_HFXOSC 1
-#define HAS_LFROSC_BYPASS 1
-
-#define RTC_FREQ 32768
-
-void write_hex(int fd, unsigned long int hex);
-
-#endif /* _SIFIVE_HIFIVE1_H */
diff --git a/bsp/env/start.S b/bsp/env/start.S
deleted file mode 100644
index 4d1eb04..0000000
--- a/bsp/env/start.S
+++ /dev/null
@@ -1,112 +0,0 @@
-// See LICENSE for license details.
-#include <sifive/smp.h>
-#include <encoding.h>
-
-/* This is defined in sifive/platform.h, but that can't be included from
- * assembly. */
-#define CLINT_CTRL_ADDR 0x02000000
-
- .section .init
- .globl _start
- .type _start,@function
-
-_start:
- .cfi_startproc
- .cfi_undefined ra
-.option push
-.option norelax
- la gp, __global_pointer$
-.option pop
- la sp, _sp
-
-#if defined(ENABLE_SMP)
- smp_pause(t0, t1)
-#endif
-
- /* Load data section */
- la a0, _data_lma
- la a1, _data
- la a2, _edata
- bgeu a1, a2, 2f
-1:
- lw t0, (a0)
- sw t0, (a1)
- addi a0, a0, 4
- addi a1, a1, 4
- bltu a1, a2, 1b
-2:
-
- /* Clear bss section */
- la a0, __bss_start
- la a1, _end
- bgeu a0, a1, 2f
-1:
- sw zero, (a0)
- addi a0, a0, 4
- bltu a0, a1, 1b
-2:
-
- /* Call global constructors */
- la a0, __libc_fini_array
- call atexit
- call __libc_init_array
-
-#ifndef __riscv_float_abi_soft
- /* Enable FPU */
- li t0, MSTATUS_FS
- csrs mstatus, t0
- csrr t1, mstatus
- and t1, t1, t0
- beqz t1, 1f
- fssr x0
-1:
-#endif
-
-#if defined(ENABLE_SMP)
- smp_resume(t0, t1)
-
- csrr a0, mhartid
- bnez a0, 2f
-#endif
-
- auipc ra, 0
- addi sp, sp, -16
-#if __riscv_xlen == 32
- sw ra, 8(sp)
-#else
- sd ra, 8(sp)
-#endif
-
- /* argc = argv = 0 */
- li a0, 0
- li a1, 0
- call main
- tail exit
-1:
- j 1b
-
-#if defined(ENABLE_SMP)
-2:
- la t0, trap_entry
- csrw mtvec, t0
-
- csrr a0, mhartid
- la t1, _sp
- slli t0, a0, 10
- sub sp, t1, t0
-
- auipc ra, 0
- addi sp, sp, -16
-#if __riscv_xlen == 32
- sw ra, 8(sp)
-#else
- sd ra, 8(sp)
-#endif
-
- call secondary_main
- tail exit
-
-1:
- j 1b
-#endif
- .cfi_endproc
diff --git a/bsp/env/ventry.S b/bsp/env/ventry.S
deleted file mode 100644
index 5c82c48..0000000
--- a/bsp/env/ventry.S
+++ /dev/null
@@ -1,288 +0,0 @@
-// See LICENSE for license details
-
-#ifndef VENTRY_S
-#define VENTRY_S
-
-#include "encoding.h"
-#include "sifive/bits.h"
-
-#only save caller registers
-.macro TRAP_ENTRY
- addi sp, sp, -16*REGBYTES
-
- STORE x1, 0*REGBYTES(sp)
- STORE x5, 1*REGBYTES(sp)
- STORE x6, 2*REGBYTES(sp)
- STORE x7, 3*REGBYTES(sp)
- STORE x10, 4*REGBYTES(sp)
- STORE x11, 5*REGBYTES(sp)
- STORE x12, 6*REGBYTES(sp)
- STORE x13, 7*REGBYTES(sp)
- STORE x14, 8*REGBYTES(sp)
- STORE x15, 9*REGBYTES(sp)
- STORE x16, 10*REGBYTES(sp)
- STORE x17, 11*REGBYTES(sp)
- STORE x28, 12*REGBYTES(sp)
- STORE x29, 13*REGBYTES(sp)
- STORE x30, 14*REGBYTES(sp)
- STORE x31, 15*REGBYTES(sp)
-.endm
-
-#restore caller registers
-.macro TRAP_EXIT
-# Remain in M-mode after mret
- li t0, MSTATUS_MPP
- csrs mstatus, t0
-
- LOAD x1, 0*REGBYTES(sp)
- LOAD x5, 1*REGBYTES(sp)
- LOAD x6, 2*REGBYTES(sp)
- LOAD x7, 3*REGBYTES(sp)
- LOAD x10, 4*REGBYTES(sp)
- LOAD x11, 5*REGBYTES(sp)
- LOAD x12, 6*REGBYTES(sp)
- LOAD x13, 7*REGBYTES(sp)
- LOAD x14, 8*REGBYTES(sp)
- LOAD x15, 9*REGBYTES(sp)
- LOAD x16, 10*REGBYTES(sp)
- LOAD x17, 11*REGBYTES(sp)
- LOAD x28, 12*REGBYTES(sp)
- LOAD x29, 13*REGBYTES(sp)
- LOAD x30, 14*REGBYTES(sp)
- LOAD x31, 15*REGBYTES(sp)
-
- addi sp, sp, 16*REGBYTES
- mret
-.endm
-
-
-
-#Vector table for E31/E51
-
- .section .text.entry
- .align 8
- .global vtrap_entry
-vtrap_entry:
- j sync_trap
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j vmsi_Handler
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j vmti_Handler
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j vmei_Handler
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j reserved
- .align 2
- j vlip_Handler0
- .align 2
- j vlip_Handler1
- .align 2
- j vlip_Handler2
- .align 2
- j vlip_Handler3
- .align 2
- j vlip_Handler4
- .align 2
- j vlip_Handler5
- .align 2
- j vlip_Handler6
- .align 2
- j vlip_Handler7
- .align 2
- j vlip_Handler8
- .align 2
- j vlip_Handler9
- .align 2
- j vlip_Handler10
- .align 2
- j vlip_Handler11
- .align 2
- j vlip_Handler12
- .align 2
- j vlip_Handler13
- .align 2
- j vlip_Handler14
- .align 2
- j vlip_Handler15
-
-#synchronous trap
-sync_trap:
- TRAP_ENTRY
- jal handle_sync_trap
- TRAP_EXIT
-
-#Machine Software Interrupt
-vmsi_Handler:
- TRAP_ENTRY
- jal reserved
- TRAP_EXIT
-
-#Machine Timer Interrupt
-vmti_Handler:
- TRAP_ENTRY
- jal handle_m_time_interrupt
- TRAP_EXIT
-
-#Machine External Interrupt
-vmei_Handler:
- TRAP_ENTRY
- jal handle_m_external_interrupt
- TRAP_EXIT
-
-#LIP0
-vlip_Handler0:
- TRAP_ENTRY
- jal handle_local_interrupt0
- TRAP_EXIT
-
-#LIP1
-vlip_Handler1:
- TRAP_ENTRY
- jal handle_local_interrupt1
- TRAP_EXIT
-
-#LIP2
-vlip_Handler2:
- TRAP_ENTRY
- jal handle_local_interrupt2
- TRAP_EXIT
-
-#LIP3
-vlip_Handler3:
- TRAP_ENTRY
- jal handle_local_interrupt3
- TRAP_EXIT
-
-#LIP4
-vlip_Handler4:
- TRAP_ENTRY
- jal handle_local_interrupt4
- TRAP_EXIT
-
-#LIP5
-vlip_Handler5:
- TRAP_ENTRY
- jal handle_local_interrupt5
- TRAP_EXIT
-
-#LIP6
-vlip_Handler6:
- TRAP_ENTRY
- jal handle_local_interrupt6
- TRAP_EXIT
-
-#LIP7
-vlip_Handler7:
- TRAP_ENTRY
- jal handle_local_interrupt7
- TRAP_EXIT
-
-#LIP8
-vlip_Handler8:
- TRAP_ENTRY
- jal handle_local_interrupt8
- TRAP_EXIT
-
-#LIP9
-vlip_Handler9:
- TRAP_ENTRY
- jal handle_local_interrupt9
- TRAP_EXIT
-
-#LIP10
-vlip_Handler10:
- TRAP_ENTRY
- jal handle_local_interrupt10
- TRAP_EXIT
-
-#LIP11
-vlip_Handler11:
- TRAP_ENTRY
- jal handle_local_interrupt11
- TRAP_EXIT
-
-#LIP12
-vlip_Handler12:
- TRAP_ENTRY
- jal handle_local_interrupt12
- TRAP_EXIT
-
-#LIP13
-vlip_Handler13:
- TRAP_ENTRY
- jal handle_local_interrupt13
- TRAP_EXIT
-
-#LIP14
-vlip_Handler14:
- TRAP_ENTRY
- jal handle_local_interrupt14
- TRAP_EXIT
-
-#LIP15
-vlip_Handler15:
- TRAP_ENTRY
- jal handle_local_interrupt15
- TRAP_EXIT
-
-#unimplemented ISRs trap here
-.weak reserved
-reserved:
-.weak handle_local_interrupt0
-handle_local_interrupt0:
-.weak handle_local_interrupt1
-handle_local_interrupt1:
-.weak handle_local_interrupt2
-handle_local_interrupt2:
-.weak handle_local_interrupt3
-handle_local_interrupt3:
-.weak handle_local_interrupt4
-handle_local_interrupt4:
-.weak handle_local_interrupt5
-handle_local_interrupt5:
-.weak handle_local_interrupt6
-handle_local_interrupt6:
-.weak handle_local_interrupt7
-handle_local_interrupt7:
-.weak handle_local_interrupt8
-handle_local_interrupt8:
-.weak handle_local_interrupt9
-handle_local_interrupt9:
-.weak handle_local_interrupt10
-handle_local_interrupt10:
-.weak handle_local_interrupt11
-handle_local_interrupt11:
-.weak handle_local_interrupt12
-handle_local_interrupt12:
-.weak handle_local_interrupt13
-handle_local_interrupt13:
-.weak handle_local_interrupt14
-handle_local_interrupt14:
-.weak handle_local_interrupt15
-handle_local_interrupt15:
-1:
- j 1b
-
-#endif
diff --git a/bsp/include/sifive/bits.h b/bsp/include/sifive/bits.h
deleted file mode 100644
index bfe656f..0000000
--- a/bsp/include/sifive/bits.h
+++ /dev/null
@@ -1,36 +0,0 @@
-// See LICENSE for license details.
-#ifndef _RISCV_BITS_H
-#define _RISCV_BITS_H
-
-#define likely(x) __builtin_expect((x), 1)
-#define unlikely(x) __builtin_expect((x), 0)
-
-#define ROUNDUP(a, b) ((((a)-1)/(b)+1)*(b))
-#define ROUNDDOWN(a, b) ((a)/(b)*(b))
-
-#define MAX(a, b) ((a) > (b) ? (a) : (b))
-#define MIN(a, b) ((a) < (b) ? (a) : (b))
-#define CLAMP(a, lo, hi) MIN(MAX(a, lo), hi)
-
-#define EXTRACT_FIELD(val, which) (((val) & (which)) / ((which) & ~((which)-1)))
-#define INSERT_FIELD(val, which, fieldval) (((val) & ~(which)) | ((fieldval) * ((which) & ~((which)-1))))
-
-#define STR(x) XSTR(x)
-#define XSTR(x) #x
-
-#if __riscv_xlen == 64
-# define SLL32 sllw
-# define STORE sd
-# define LOAD ld
-# define LWU lwu
-# define LOG_REGBYTES 3
-#else
-# define SLL32 sll
-# define STORE sw
-# define LOAD lw
-# define LWU lw
-# define LOG_REGBYTES 2
-#endif
-#define REGBYTES (1 << LOG_REGBYTES)
-
-#endif
diff --git a/bsp/include/sifive/const.h b/bsp/include/sifive/const.h
deleted file mode 100644
index 8dcffbb..0000000
--- a/bsp/include/sifive/const.h
+++ /dev/null
@@ -1,18 +0,0 @@
-// See LICENSE for license details.
-/* Derived from <linux/const.h> */
-
-#ifndef _SIFIVE_CONST_H
-#define _SIFIVE_CONST_H
-
-#ifdef __ASSEMBLER__
-#define _AC(X,Y) X
-#define _AT(T,X) X
-#else
-#define _AC(X,Y) (X##Y)
-#define _AT(T,X) ((T)(X))
-#endif /* !__ASSEMBLER__*/
-
-#define _BITUL(x) (_AC(1,UL) << (x))
-#define _BITULL(x) (_AC(1,ULL) << (x))
-
-#endif /* _SIFIVE_CONST_H */
diff --git a/bsp/include/sifive/devices/aon.h b/bsp/include/sifive/devices/aon.h
deleted file mode 100644
index 63f1db3..0000000
--- a/bsp/include/sifive/devices/aon.h
+++ /dev/null
@@ -1,88 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_AON_H
-#define _SIFIVE_AON_H
-
-/* Register offsets */
-
-#define AON_WDOGCFG 0x000
-#define AON_WDOGCOUNT 0x008
-#define AON_WDOGS 0x010
-#define AON_WDOGFEED 0x018
-#define AON_WDOGKEY 0x01C
-#define AON_WDOGCMP 0x020
-
-#define AON_RTCCFG 0x040
-#define AON_RTCLO 0x048
-#define AON_RTCHI 0x04C
-#define AON_RTCS 0x050
-#define AON_RTCCMP 0x060
-
-#define AON_BACKUP0 0x080
-#define AON_BACKUP1 0x084
-#define AON_BACKUP2 0x088
-#define AON_BACKUP3 0x08C
-#define AON_BACKUP4 0x090
-#define AON_BACKUP5 0x094
-#define AON_BACKUP6 0x098
-#define AON_BACKUP7 0x09C
-#define AON_BACKUP8 0x0A0
-#define AON_BACKUP9 0x0A4
-#define AON_BACKUP10 0x0A8
-#define AON_BACKUP11 0x0AC
-#define AON_BACKUP12 0x0B0
-#define AON_BACKUP13 0x0B4
-#define AON_BACKUP14 0x0B8
-#define AON_BACKUP15 0x0BC
-
-#define AON_PMUWAKEUPI0 0x100
-#define AON_PMUWAKEUPI1 0x104
-#define AON_PMUWAKEUPI2 0x108
-#define AON_PMUWAKEUPI3 0x10C
-#define AON_PMUWAKEUPI4 0x110
-#define AON_PMUWAKEUPI5 0x114
-#define AON_PMUWAKEUPI6 0x118
-#define AON_PMUWAKEUPI7 0x11C
-#define AON_PMUSLEEPI0 0x120
-#define AON_PMUSLEEPI1 0x124
-#define AON_PMUSLEEPI2 0x128
-#define AON_PMUSLEEPI3 0x12C
-#define AON_PMUSLEEPI4 0x130
-#define AON_PMUSLEEPI5 0x134
-#define AON_PMUSLEEPI6 0x138
-#define AON_PMUSLEEPI7 0x13C
-#define AON_PMUIE 0x140
-#define AON_PMUCAUSE 0x144
-#define AON_PMUSLEEP 0x148
-#define AON_PMUKEY 0x14C
-
-#define AON_LFROSC 0x070
-/* Constants */
-
-#define AON_WDOGKEY_VALUE 0x51F15E
-#define AON_WDOGFEED_VALUE 0xD09F00D
-
-#define AON_WDOGCFG_SCALE 0x0000000F
-#define AON_WDOGCFG_RSTEN 0x00000100
-#define AON_WDOGCFG_ZEROCMP 0x00000200
-#define AON_WDOGCFG_ENALWAYS 0x00001000
-#define AON_WDOGCFG_ENCOREAWAKE 0x00002000
-#define AON_WDOGCFG_CMPIP 0x10000000
-
-#define AON_RTCCFG_SCALE 0x0000000F
-#define AON_RTCCFG_ENALWAYS 0x00001000
-#define AON_RTCCFG_CMPIP 0x10000000
-
-#define AON_WAKEUPCAUSE_RESET 0x00
-#define AON_WAKEUPCAUSE_RTC 0x01
-#define AON_WAKEUPCAUSE_DWAKEUP 0x02
-#define AON_WAKEUPCAUSE_AWAKEUP 0x03
-
-#define AON_RESETCAUSE_POWERON 0x0000
-#define AON_RESETCAUSE_EXTERNAL 0x0100
-#define AON_RESETCAUSE_WATCHDOG 0x0200
-
-#define AON_PMUCAUSE_WAKEUPCAUSE 0x00FF
-#define AON_PMUCAUSE_RESETCAUSE 0xFF00
-
-#endif /* _SIFIVE_AON_H */
diff --git a/bsp/include/sifive/devices/clic.h b/bsp/include/sifive/devices/clic.h
deleted file mode 100644
index e8dc2df..0000000
--- a/bsp/include/sifive/devices/clic.h
+++ /dev/null
@@ -1,30 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_CLIC_H
-#define _SIFIVE_CLIC_H
-
-#define CLIC_HART0 0x00800000
-#define CLIC_MSIP 0x0000
-#define CLIC_MSIP_size 0x4
-#define CLIC_MTIMECMP 0x4000
-#define CLIC_MTIMECMP_size 0x8
-#define CLIC_MTIME 0xBFF8
-#define CLIC_MTIME_size 0x8
-
-#define CLIC_INTIP 0x000
-#define CLIC_INTIE 0x400
-#define CLIC_INTCFG 0x800
-#define CLIC_CFG 0xc00
-
-// These interrupt IDs are consistent across old and new mtvec modes
-#define SSIPID 1
-#define MSIPID 3
-#define STIPID 5
-#define MTIPID 7
-#define SEIPID 9
-#define MEIPID 11
-#define CSIPID 12
-#define LOCALINTIDBASE 16
-
-
-#endif /* _SIFIVE_CLIC_H */
diff --git a/bsp/include/sifive/devices/clint.h b/bsp/include/sifive/devices/clint.h
deleted file mode 100644
index cd3e0c7..0000000
--- a/bsp/include/sifive/devices/clint.h
+++ /dev/null
@@ -1,14 +0,0 @@
-// See LICENSE for license details
-
-#ifndef _SIFIVE_CLINT_H
-#define _SIFIVE_CLINT_H
-
-
-#define CLINT_MSIP 0x0000
-#define CLINT_MSIP_size 0x4
-#define CLINT_MTIMECMP 0x4000
-#define CLINT_MTIMECMP_size 0x8
-#define CLINT_MTIME 0xBFF8
-#define CLINT_MTIME_size 0x8
-
-#endif /* _SIFIVE_CLINT_H */
diff --git a/bsp/include/sifive/devices/gpio.h b/bsp/include/sifive/devices/gpio.h
deleted file mode 100644
index f7f0acb..0000000
--- a/bsp/include/sifive/devices/gpio.h
+++ /dev/null
@@ -1,24 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_GPIO_H
-#define _SIFIVE_GPIO_H
-
-#define GPIO_INPUT_VAL (0x00)
-#define GPIO_INPUT_EN (0x04)
-#define GPIO_OUTPUT_EN (0x08)
-#define GPIO_OUTPUT_VAL (0x0C)
-#define GPIO_PULLUP_EN (0x10)
-#define GPIO_DRIVE (0x14)
-#define GPIO_RISE_IE (0x18)
-#define GPIO_RISE_IP (0x1C)
-#define GPIO_FALL_IE (0x20)
-#define GPIO_FALL_IP (0x24)
-#define GPIO_HIGH_IE (0x28)
-#define GPIO_HIGH_IP (0x2C)
-#define GPIO_LOW_IE (0x30)
-#define GPIO_LOW_IP (0x34)
-#define GPIO_IOF_EN (0x38)
-#define GPIO_IOF_SEL (0x3C)
-#define GPIO_OUTPUT_XOR (0x40)
-
-#endif /* _SIFIVE_GPIO_H */
diff --git a/bsp/include/sifive/devices/otp.h b/bsp/include/sifive/devices/otp.h
deleted file mode 100644
index 93833e2..0000000
--- a/bsp/include/sifive/devices/otp.h
+++ /dev/null
@@ -1,23 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_OTP_H
-#define _SIFIVE_OTP_H
-
-/* Register offsets */
-
-#define OTP_LOCK 0x00
-#define OTP_CK 0x04
-#define OTP_OE 0x08
-#define OTP_SEL 0x0C
-#define OTP_WE 0x10
-#define OTP_MR 0x14
-#define OTP_MRR 0x18
-#define OTP_MPP 0x1C
-#define OTP_VRREN 0x20
-#define OTP_VPPEN 0x24
-#define OTP_A 0x28
-#define OTP_D 0x2C
-#define OTP_Q 0x30
-#define OTP_READ_TIMINGS 0x34
-
-#endif
diff --git a/bsp/include/sifive/devices/plic.h b/bsp/include/sifive/devices/plic.h
deleted file mode 100644
index e1ca5d6..0000000
--- a/bsp/include/sifive/devices/plic.h
+++ /dev/null
@@ -1,31 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef PLIC_H
-#define PLIC_H
-
-#include <sifive/const.h>
-
-// 32 bits per source
-#define PLIC_PRIORITY_OFFSET _AC(0x0000,UL)
-#define PLIC_PRIORITY_SHIFT_PER_SOURCE 2
-// 1 bit per source (1 address)
-#define PLIC_PENDING_OFFSET _AC(0x1000,UL)
-#define PLIC_PENDING_SHIFT_PER_SOURCE 0
-
-//0x80 per target
-#define PLIC_ENABLE_OFFSET _AC(0x2000,UL)
-#define PLIC_ENABLE_SHIFT_PER_TARGET 7
-
-
-#define PLIC_THRESHOLD_OFFSET _AC(0x200000,UL)
-#define PLIC_CLAIM_OFFSET _AC(0x200004,UL)
-#define PLIC_THRESHOLD_SHIFT_PER_TARGET 12
-#define PLIC_CLAIM_SHIFT_PER_TARGET 12
-
-#define PLIC_MAX_SOURCE 1023
-#define PLIC_SOURCE_MASK 0x3FF
-
-#define PLIC_MAX_TARGET 15871
-#define PLIC_TARGET_MASK 0x3FFF
-
-#endif /* PLIC_H */
diff --git a/bsp/include/sifive/devices/prci.h b/bsp/include/sifive/devices/prci.h
deleted file mode 100644
index 1a3de58..0000000
--- a/bsp/include/sifive/devices/prci.h
+++ /dev/null
@@ -1,56 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PRCI_H
-#define _SIFIVE_PRCI_H
-
-/* Register offsets */
-
-#define PRCI_HFROSCCFG (0x0000)
-#define PRCI_HFXOSCCFG (0x0004)
-#define PRCI_PLLCFG (0x0008)
-#define PRCI_PLLDIV (0x000C)
-#define PRCI_PROCMONCFG (0x00F0)
-
-/* Fields */
-#define ROSC_DIV(x) (((x) & 0x2F) << 0 )
-#define ROSC_TRIM(x) (((x) & 0x1F) << 16)
-#define ROSC_EN(x) (((x) & 0x1 ) << 30)
-#define ROSC_RDY(x) (((x) & 0x1 ) << 31)
-
-#define XOSC_EN(x) (((x) & 0x1) << 30)
-#define XOSC_RDY(x) (((x) & 0x1) << 31)
-
-#define PLL_R(x) (((x) & 0x7) << 0)
-// single reserved bit for F LSB.
-#define PLL_F(x) (((x) & 0x3F) << 4)
-#define PLL_Q(x) (((x) & 0x3) << 10)
-#define PLL_SEL(x) (((x) & 0x1) << 16)
-#define PLL_REFSEL(x) (((x) & 0x1) << 17)
-#define PLL_BYPASS(x) (((x) & 0x1) << 18)
-#define PLL_LOCK(x) (((x) & 0x1) << 31)
-
-#define PLL_R_default 0x1
-#define PLL_F_default 0x1F
-#define PLL_Q_default 0x3
-
-#define PLL_REFSEL_HFROSC 0x0
-#define PLL_REFSEL_HFXOSC 0x1
-
-#define PLL_SEL_HFROSC 0x0
-#define PLL_SEL_PLL 0x1
-
-#define PLL_FINAL_DIV(x) (((x) & 0x3F) << 0)
-#define PLL_FINAL_DIV_BY_1(x) (((x) & 0x1 ) << 8)
-
-#define PROCMON_DIV(x) (((x) & 0x1F) << 0)
-#define PROCMON_TRIM(x) (((x) & 0x1F) << 8)
-#define PROCMON_EN(x) (((x) & 0x1) << 16)
-#define PROCMON_SEL(x) (((x) & 0x3) << 24)
-#define PROCMON_NT_EN(x) (((x) & 0x1) << 28)
-
-#define PROCMON_SEL_HFCLK 0
-#define PROCMON_SEL_HFXOSCIN 1
-#define PROCMON_SEL_PLLOUTDIV 2
-#define PROCMON_SEL_PROCMON 3
-
-#endif // _SIFIVE_PRCI_H
diff --git a/bsp/include/sifive/devices/pwm.h b/bsp/include/sifive/devices/pwm.h
deleted file mode 100644
index 067889a..0000000
--- a/bsp/include/sifive/devices/pwm.h
+++ /dev/null
@@ -1,37 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_PWM_H
-#define _SIFIVE_PWM_H
-
-/* Register offsets */
-
-#define PWM_CFG 0x00
-#define PWM_COUNT 0x08
-#define PWM_S 0x10
-#define PWM_CMP0 0x20
-#define PWM_CMP1 0x24
-#define PWM_CMP2 0x28
-#define PWM_CMP3 0x2C
-
-/* Constants */
-
-#define PWM_CFG_SCALE 0x0000000F
-#define PWM_CFG_STICKY 0x00000100
-#define PWM_CFG_ZEROCMP 0x00000200
-#define PWM_CFG_DEGLITCH 0x00000400
-#define PWM_CFG_ENALWAYS 0x00001000
-#define PWM_CFG_ONESHOT 0x00002000
-#define PWM_CFG_CMP0CENTER 0x00010000
-#define PWM_CFG_CMP1CENTER 0x00020000
-#define PWM_CFG_CMP2CENTER 0x00040000
-#define PWM_CFG_CMP3CENTER 0x00080000
-#define PWM_CFG_CMP0GANG 0x01000000
-#define PWM_CFG_CMP1GANG 0x02000000
-#define PWM_CFG_CMP2GANG 0x04000000
-#define PWM_CFG_CMP3GANG 0x08000000
-#define PWM_CFG_CMP0IP 0x10000000
-#define PWM_CFG_CMP1IP 0x20000000
-#define PWM_CFG_CMP2IP 0x40000000
-#define PWM_CFG_CMP3IP 0x80000000
-
-#endif /* _SIFIVE_PWM_H */
diff --git a/bsp/include/sifive/devices/spi.h b/bsp/include/sifive/devices/spi.h
deleted file mode 100644
index 80ef345..0000000
--- a/bsp/include/sifive/devices/spi.h
+++ /dev/null
@@ -1,80 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_SPI_H
-#define _SIFIVE_SPI_H
-
-/* Register offsets */
-
-#define SPI_REG_SCKDIV 0x00
-#define SPI_REG_SCKMODE 0x04
-#define SPI_REG_CSID 0x10
-#define SPI_REG_CSDEF 0x14
-#define SPI_REG_CSMODE 0x18
-
-#define SPI_REG_DCSSCK 0x28
-#define SPI_REG_DSCKCS 0x2a
-#define SPI_REG_DINTERCS 0x2c
-#define SPI_REG_DINTERXFR 0x2e
-
-#define SPI_REG_FMT 0x40
-#define SPI_REG_TXFIFO 0x48
-#define SPI_REG_RXFIFO 0x4c
-#define SPI_REG_TXCTRL 0x50
-#define SPI_REG_RXCTRL 0x54
-
-#define SPI_REG_FCTRL 0x60
-#define SPI_REG_FFMT 0x64
-
-#define SPI_REG_IE 0x70
-#define SPI_REG_IP 0x74
-
-/* Fields */
-
-#define SPI_SCK_PHA 0x1
-#define SPI_SCK_POL 0x2
-
-#define SPI_FMT_PROTO(x) ((x) & 0x3)
-#define SPI_FMT_ENDIAN(x) (((x) & 0x1) << 2)
-#define SPI_FMT_DIR(x) (((x) & 0x1) << 3)
-#define SPI_FMT_LEN(x) (((x) & 0xf) << 16)
-
-/* TXCTRL register */
-#define SPI_TXWM(x) ((x) & 0xffff)
-/* RXCTRL register */
-#define SPI_RXWM(x) ((x) & 0xffff)
-
-#define SPI_IP_TXWM 0x1
-#define SPI_IP_RXWM 0x2
-
-#define SPI_FCTRL_EN 0x1
-
-#define SPI_INSN_CMD_EN 0x1
-#define SPI_INSN_ADDR_LEN(x) (((x) & 0x7) << 1)
-#define SPI_INSN_PAD_CNT(x) (((x) & 0xf) << 4)
-#define SPI_INSN_CMD_PROTO(x) (((x) & 0x3) << 8)
-#define SPI_INSN_ADDR_PROTO(x) (((x) & 0x3) << 10)
-#define SPI_INSN_DATA_PROTO(x) (((x) & 0x3) << 12)
-#define SPI_INSN_CMD_CODE(x) (((x) & 0xff) << 16)
-#define SPI_INSN_PAD_CODE(x) (((x) & 0xff) << 24)
-
-#define SPI_TXFIFO_FULL (1 << 31)
-#define SPI_RXFIFO_EMPTY (1 << 31)
-
-/* Values */
-
-#define SPI_CSMODE_AUTO 0
-#define SPI_CSMODE_HOLD 2
-#define SPI_CSMODE_OFF 3
-
-#define SPI_DIR_RX 0
-#define SPI_DIR_TX 1
-
-#define SPI_PROTO_S 0
-#define SPI_PROTO_D 1
-#define SPI_PROTO_Q 2
-
-#define SPI_ENDIAN_MSB 0
-#define SPI_ENDIAN_LSB 1
-
-
-#endif /* _SIFIVE_SPI_H */
diff --git a/bsp/include/sifive/devices/uart.h b/bsp/include/sifive/devices/uart.h
deleted file mode 100644
index 71bea6f..0000000
--- a/bsp/include/sifive/devices/uart.h
+++ /dev/null
@@ -1,27 +0,0 @@
-// See LICENSE for license details.
-
-#ifndef _SIFIVE_UART_H
-#define _SIFIVE_UART_H
-
-/* Register offsets */
-#define UART_REG_TXFIFO 0x00
-#define UART_REG_RXFIFO 0x04
-#define UART_REG_TXCTRL 0x08
-#define UART_REG_RXCTRL 0x0c
-#define UART_REG_IE 0x10
-#define UART_REG_IP 0x14
-#define UART_REG_DIV 0x18
-
-/* TXCTRL register */
-#define UART_TXEN 0x1
-#define UART_TXWM(x) (((x) & 0xffff) << 16)
-
-/* RXCTRL register */
-#define UART_RXEN 0x1
-#define UART_RXWM(x) (((x) & 0xffff) << 16)
-
-/* IP register */
-#define UART_IP_TXWM 0x1
-#define UART_IP_RXWM 0x2
-
-#endif /* _SIFIVE_UART_H */
diff --git a/bsp/include/sifive/sections.h b/bsp/include/sifive/sections.h
deleted file mode 100644
index 6e1f051..0000000
--- a/bsp/include/sifive/sections.h
+++ /dev/null
@@ -1,17 +0,0 @@
-// See LICENSE for license details.
-#ifndef _SECTIONS_H
-#define _SECTIONS_H
-
-extern unsigned char _rom[];
-extern unsigned char _rom_end[];
-
-extern unsigned char _ram[];
-extern unsigned char _ram_end[];
-
-extern unsigned char _ftext[];
-extern unsigned char _etext[];
-extern unsigned char _fbss[];
-extern unsigned char _ebss[];
-extern unsigned char _end[];
-
-#endif /* _SECTIONS_H */
diff --git a/bsp/include/sifive/smp.h b/bsp/include/sifive/smp.h
deleted file mode 100644
index 8e34388..0000000
--- a/bsp/include/sifive/smp.h
+++ /dev/null
@@ -1,65 +0,0 @@
-#ifndef SIFIVE_SMP
-#define SIFIVE_SMP
-
-// The maximum number of HARTs this code supports
-#ifndef MAX_HARTS
-#define MAX_HARTS 32
-#endif
-#define CLINT_END_HART_IPI CLINT_CTRL_ADDR + (MAX_HARTS*4)
-
-// The hart that non-SMP tests should run on
-#ifndef NONSMP_HART
-#define NONSMP_HART 0
-#endif
-
-/* If your test cannot handle multiple-threads, use this:
- * smp_disable(reg1)
- */
-#define smp_disable(reg1, reg2) \
- csrr reg1, mhartid ;\
- li reg2, NONSMP_HART ;\
- beq reg1, reg2, hart0_entry ;\
-42: ;\
- wfi ;\
- j 42b ;\
-hart0_entry:
-
-/* If your test needs to temporarily block multiple-threads, do this:
- * smp_pause(reg1, reg2)
- * ... single-threaded work ...
- * smp_resume(reg1, reg2)
- * ... multi-threaded work ...
- */
-
-#define smp_pause(reg1, reg2) \
- li reg2, 0x8 ;\
- csrw mie, reg2 ;\
- csrr reg2, mhartid ;\
- bnez reg2, 42f
-
-#define smp_resume(reg1, reg2) \
- li reg1, CLINT_CTRL_ADDR ;\
-41: ;\
- li reg2, 1 ;\
- sw reg2, 0(reg1) ;\
- addi reg1, reg1, 4 ;\
- li reg2, CLINT_END_HART_IPI ;\
- blt reg1, reg2, 41b ;\
-42: ;\
- wfi ;\
- csrr reg2, mip ;\
- andi reg2, reg2, 0x8 ;\
- beqz reg2, 42b ;\
- li reg1, CLINT_CTRL_ADDR ;\
- csrr reg2, mhartid ;\
- slli reg2, reg2, 2 ;\
- add reg2, reg2, reg1 ;\
- sw zero, 0(reg2) ;\
-41: ;\
- lw reg2, 0(reg1) ;\
- bnez reg2, 41b ;\
- addi reg1, reg1, 4 ;\
- li reg2, CLINT_END_HART_IPI ;\
- blt reg1, reg2, 41b
-
-#endif
diff --git a/bsp/libwrap/libwrap.mk b/bsp/libwrap/libwrap.mk
deleted file mode 100644
index 71bba3d..0000000
--- a/bsp/libwrap/libwrap.mk
+++ /dev/null
@@ -1,56 +0,0 @@
-# See LICENSE for license details.
-
-ifndef _SIFIVE_MK_LIBWRAP
-_SIFIVE_MK_LIBWRAP := # defined
-
-LIBWRAP_DIR := $(dir $(lastword $(MAKEFILE_LIST)))
-LIBWRAP_DIR := $(LIBWRAP_DIR:/=)
-
-LIBWRAP_SRCS := \
- stdlib/malloc.c \
- sys/open.c \
- sys/lseek.c \
- sys/read.c \
- sys/write.c \
- sys/fstat.c \
- sys/stat.c \
- sys/close.c \
- sys/link.c \
- sys/unlink.c \
- sys/execve.c \
- sys/fork.c \
- sys/getpid.c \
- sys/kill.c \
- sys/wait.c \
- sys/isatty.c \
- sys/times.c \
- sys/sbrk.c \
- sys/_exit.c \
- sys/puts.c \
- misc/write_hex.c
-
-LIBWRAP_SRCS := $(foreach f,$(LIBWRAP_SRCS),$(LIBWRAP_DIR)/$(f))
-LIBWRAP_OBJS := $(LIBWRAP_SRCS:.c=.o)
-
-LIBWRAP_SYMS := malloc free \
- open lseek read write fstat stat close link unlink \
- execve fork getpid kill wait \
- isatty times sbrk _exit puts
-
-LIBWRAP := libwrap.a
-
-LINK_DEPS += $(LIBWRAP)
-
-LDFLAGS += $(foreach s,$(LIBWRAP_SYMS),-Wl,--wrap=$(s))
-LDFLAGS += $(foreach s,$(LIBWRAP_SYMS),-Wl,--wrap=_$(s))
-LDFLAGS += -L. -Wl,--start-group -lwrap -lc -Wl,--end-group
-
-CLEAN_OBJS += $(LIBWRAP_OBJS)
-
-$(LIBWRAP_OBJS): %.o: %.c $(HEADERS)
- $(CC) $(CFLAGS) $(INCLUDES) -c -o $@ $<
-
-$(LIBWRAP): $(LIBWRAP_OBJS)
- $(AR) rcs $@ $^
-
-endif # _SIFIVE_MK_LIBWRAP
diff --git a/bsp/libwrap/misc/write_hex.c b/bsp/libwrap/misc/write_hex.c
deleted file mode 100644
index a35ad7a..0000000
--- a/bsp/libwrap/misc/write_hex.c
+++ /dev/null
@@ -1,19 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <stdint.h>
-#include <unistd.h>
-#include "platform.h"
-
-void write_hex(int fd, unsigned long int hex)
-{
- uint8_t ii;
- uint8_t jj;
- char towrite;
- write(fd , "0x", 2);
- for (ii = sizeof(unsigned long int) * 2 ; ii > 0; ii--) {
- jj = ii - 1;
- uint8_t digit = ((hex & (0xF << (jj*4))) >> (jj*4));
- towrite = digit < 0xA ? ('0' + digit) : ('A' + (digit - 0xA));
- write(fd, &towrite, 1);
- }
-}
diff --git a/bsp/libwrap/stdlib/malloc.c b/bsp/libwrap/stdlib/malloc.c
deleted file mode 100644
index 8f4f432..0000000
--- a/bsp/libwrap/stdlib/malloc.c
+++ /dev/null
@@ -1,17 +0,0 @@
-/* See LICENSE for license details. */
-
-/* These functions are intended for embedded RV32 systems and are
- obviously incorrect in general. */
-
-void* __wrap_malloc(unsigned long sz)
-{
- extern void* sbrk(long);
- void* res = sbrk(sz);
- if ((long)res == -1)
- return 0;
- return res;
-}
-
-void __wrap_free(void* ptr)
-{
-}
diff --git a/bsp/libwrap/sys/_exit.c b/bsp/libwrap/sys/_exit.c
deleted file mode 100644
index 011464f..0000000
--- a/bsp/libwrap/sys/_exit.c
+++ /dev/null
@@ -1,17 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <unistd.h>
-#include "platform.h"
-#include "weak_under_alias.h"
-
-void __wrap_exit(int code)
-{
- const char message[] = "\nProgam has exited with code:";
-
- write(STDERR_FILENO, message, sizeof(message) - 1);
- write_hex(STDERR_FILENO, code);
- write(STDERR_FILENO, "\n", 1);
-
- for (;;);
-}
-weak_under_alias(exit);
diff --git a/bsp/libwrap/sys/close.c b/bsp/libwrap/sys/close.c
deleted file mode 100644
index 199fe51..0000000
--- a/bsp/libwrap/sys/close.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_close(int fd)
-{
- return _stub(EBADF);
-}
-weak_under_alias(close);
diff --git a/bsp/libwrap/sys/execve.c b/bsp/libwrap/sys/execve.c
deleted file mode 100644
index f7be25a..0000000
--- a/bsp/libwrap/sys/execve.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_execve(const char* name, char* const argv[], char* const env[])
-{
- return _stub(ENOMEM);
-}
-weak_under_alias(execve);
diff --git a/bsp/libwrap/sys/fork.c b/bsp/libwrap/sys/fork.c
deleted file mode 100644
index 13a3e65..0000000
--- a/bsp/libwrap/sys/fork.c
+++ /dev/null
@@ -1,9 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-
-int fork(void)
-{
- return _stub(EAGAIN);
-}
diff --git a/bsp/libwrap/sys/fstat.c b/bsp/libwrap/sys/fstat.c
deleted file mode 100644
index ff82bf9..0000000
--- a/bsp/libwrap/sys/fstat.c
+++ /dev/null
@@ -1,18 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include <unistd.h>
-#include <sys/stat.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_fstat(int fd, struct stat* st)
-{
- if (isatty(fd)) {
- st->st_mode = S_IFCHR;
- return 0;
- }
-
- return _stub(EBADF);
-}
-weak_under_alias(fstat);
diff --git a/bsp/libwrap/sys/getpid.c b/bsp/libwrap/sys/getpid.c
deleted file mode 100644
index 195fbec..0000000
--- a/bsp/libwrap/sys/getpid.c
+++ /dev/null
@@ -1,8 +0,0 @@
-/* See LICENSE of license details. */
-#include "weak_under_alias.h"
-
-int __wrap_getpid(void)
-{
- return 1;
-}
-weak_under_alias(getpid);
diff --git a/bsp/libwrap/sys/isatty.c b/bsp/libwrap/sys/isatty.c
deleted file mode 100644
index 7bb82ab..0000000
--- a/bsp/libwrap/sys/isatty.c
+++ /dev/null
@@ -1,13 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <unistd.h>
-#include "weak_under_alias.h"
-
-int __wrap_isatty(int fd)
-{
- if (fd == STDOUT_FILENO || fd == STDERR_FILENO)
- return 1;
-
- return 0;
-}
-weak_under_alias(isatty);
diff --git a/bsp/libwrap/sys/kill.c b/bsp/libwrap/sys/kill.c
deleted file mode 100644
index 18b9bd4..0000000
--- a/bsp/libwrap/sys/kill.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_kill(int pid, int sig)
-{
- return _stub(EINVAL);
-}
-weak_under_alias(kill);
diff --git a/bsp/libwrap/sys/link.c b/bsp/libwrap/sys/link.c
deleted file mode 100644
index 0cad551..0000000
--- a/bsp/libwrap/sys/link.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_link(const char *old_name, const char *new_name)
-{
- return _stub(EMLINK);
-}
-weak_under_alias(link);
diff --git a/bsp/libwrap/sys/lseek.c b/bsp/libwrap/sys/lseek.c
deleted file mode 100644
index 4131449..0000000
--- a/bsp/libwrap/sys/lseek.c
+++ /dev/null
@@ -1,16 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include <unistd.h>
-#include <sys/types.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-off_t __wrap_lseek(int fd, off_t ptr, int dir)
-{
- if (isatty(fd))
- return 0;
-
- return _stub(EBADF);
-}
-weak_under_alias(lseek);
diff --git a/bsp/libwrap/sys/open.c b/bsp/libwrap/sys/open.c
deleted file mode 100644
index c61415a..0000000
--- a/bsp/libwrap/sys/open.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_open(const char* name, int flags, int mode)
-{
- return _stub(ENOENT);
-}
-weak_under_alias(open);
diff --git a/bsp/libwrap/sys/openat.c b/bsp/libwrap/sys/openat.c
deleted file mode 100644
index 227c956..0000000
--- a/bsp/libwrap/sys/openat.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_openat(int dirfd, const char* name, int flags, int mode)
-{
- return _stub(ENOENT);
-}
-weak_under_alias(openat);
diff --git a/bsp/libwrap/sys/puts.c b/bsp/libwrap/sys/puts.c
deleted file mode 100644
index 50d6437..0000000
--- a/bsp/libwrap/sys/puts.c
+++ /dev/null
@@ -1,28 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <stdint.h>
-#include <errno.h>
-#include <unistd.h>
-#include <sys/types.h>
-
-#include "platform.h"
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_puts(const char *s)
-{
- while (*s != '\0') {
- while (UART0_REG(UART_REG_TXFIFO) & 0x80000000) ;
- UART0_REG(UART_REG_TXFIFO) = *s;
-
- if (*s == '\n') {
- while (UART0_REG(UART_REG_TXFIFO) & 0x80000000) ;
- UART0_REG(UART_REG_TXFIFO) = '\r';
- }
-
- ++s;
- }
-
- return 0;
-}
-weak_under_alias(puts);
diff --git a/bsp/libwrap/sys/read.c b/bsp/libwrap/sys/read.c
deleted file mode 100644
index 3226cdb..0000000
--- a/bsp/libwrap/sys/read.c
+++ /dev/null
@@ -1,32 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <stdint.h>
-#include <errno.h>
-#include <unistd.h>
-#include <sys/types.h>
-
-#include "platform.h"
-#include "stub.h"
-#include "weak_under_alias.h"
-
-ssize_t __wrap_read(int fd, void* ptr, size_t len)
-{
- uint8_t * current = (uint8_t *)ptr;
- volatile uint32_t * uart_rx = (uint32_t *)(UART0_CTRL_ADDR + UART_REG_RXFIFO);
- volatile uint8_t * uart_rx_cnt = (uint8_t *)(UART0_CTRL_ADDR + UART_REG_RXCTRL + 2);
-
- ssize_t result = 0;
-
- if (isatty(fd)) {
- for (current = (uint8_t *)ptr;
- (current < ((uint8_t *)ptr) + len) && (*uart_rx_cnt > 0);
- current ++) {
- *current = *uart_rx;
- result++;
- }
- return result;
- }
-
- return _stub(EBADF);
-}
-weak_under_alias(read);
diff --git a/bsp/libwrap/sys/sbrk.c b/bsp/libwrap/sys/sbrk.c
deleted file mode 100644
index 12170b4..0000000
--- a/bsp/libwrap/sys/sbrk.c
+++ /dev/null
@@ -1,18 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <stddef.h>
-#include "weak_under_alias.h"
-
-void *__wrap_sbrk(ptrdiff_t incr)
-{
- extern char _end[];
- extern char _heap_end[];
- static char *curbrk = _end;
-
- if ((curbrk + incr < _end) || (curbrk + incr > _heap_end))
- return NULL - 1;
-
- curbrk += incr;
- return curbrk - incr;
-}
-weak_under_alias(sbrk);
diff --git a/bsp/libwrap/sys/stat.c b/bsp/libwrap/sys/stat.c
deleted file mode 100644
index 1576ca1..0000000
--- a/bsp/libwrap/sys/stat.c
+++ /dev/null
@@ -1,12 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include <sys/stat.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_stat(const char* file, struct stat* st)
-{
- return _stub(EACCES);
-}
-weak_under_alias(stat);
diff --git a/bsp/libwrap/sys/stub.h b/bsp/libwrap/sys/stub.h
deleted file mode 100644
index fb5e5be..0000000
--- a/bsp/libwrap/sys/stub.h
+++ /dev/null
@@ -1,10 +0,0 @@
-/* See LICENSE of license details. */
-#ifndef _SIFIVE_SYS_STUB_H
-#define _SIFIVE_SYS_STUB_H
-
-static inline int _stub(int err)
-{
- return -1;
-}
-
-#endif /* _SIFIVE_SYS_STUB_H */
diff --git a/bsp/libwrap/sys/times.c b/bsp/libwrap/sys/times.c
deleted file mode 100644
index 55969a7..0000000
--- a/bsp/libwrap/sys/times.c
+++ /dev/null
@@ -1,12 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include <sys/times.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-clock_t __wrap_times(struct tms* buf)
-{
- return _stub(EACCES);
-}
-weak_under_alias(times);
diff --git a/bsp/libwrap/sys/unlink.c b/bsp/libwrap/sys/unlink.c
deleted file mode 100644
index 09f4da7..0000000
--- a/bsp/libwrap/sys/unlink.c
+++ /dev/null
@@ -1,11 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-#include "weak_under_alias.h"
-
-int __wrap_unlink(const char* name)
-{
- return _stub(ENOENT);
-}
-weak_under_alias(unlink);
diff --git a/bsp/libwrap/sys/wait.c b/bsp/libwrap/sys/wait.c
deleted file mode 100644
index ea3225b..0000000
--- a/bsp/libwrap/sys/wait.c
+++ /dev/null
@@ -1,9 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <errno.h>
-#include "stub.h"
-
-int wait(int* status)
-{
- return _stub(ECHILD);
-}
diff --git a/bsp/libwrap/sys/weak_under_alias.h b/bsp/libwrap/sys/weak_under_alias.h
deleted file mode 100644
index 7629353..0000000
--- a/bsp/libwrap/sys/weak_under_alias.h
+++ /dev/null
@@ -1,7 +0,0 @@
-#ifndef _BSP_LIBWRAP_WEAK_UNDER_ALIAS_H
-#define _BSP_LIBWRAP_WEAK_UNDER_ALIAS_H
-
-#define weak_under_alias(name) \
- extern __typeof (__wrap_##name) __wrap__##name __attribute__ ((weak, alias ("__wrap_"#name)))
-
-#endif
diff --git a/bsp/libwrap/sys/write.c b/bsp/libwrap/sys/write.c
deleted file mode 100644
index b1e9a7e..0000000
--- a/bsp/libwrap/sys/write.c
+++ /dev/null
@@ -1,31 +0,0 @@
-/* See LICENSE of license details. */
-
-#include <stdint.h>
-#include <errno.h>
-#include <unistd.h>
-#include <sys/types.h>
-
-#include "platform.h"
-#include "stub.h"
-#include "weak_under_alias.h"
-
-ssize_t __wrap_write(int fd, const void* ptr, size_t len)
-{
- const uint8_t * current = (const char *)ptr;
-
- if (isatty(fd)) {
- for (size_t jj = 0; jj < len; jj++) {
- while (UART0_REG(UART_REG_TXFIFO) & 0x80000000) ;
- UART0_REG(UART_REG_TXFIFO) = current[jj];
-
- if (current[jj] == '\n') {
- while (UART0_REG(UART_REG_TXFIFO) & 0x80000000) ;
- UART0_REG(UART_REG_TXFIFO) = '\r';
- }
- }
- return len;
- }
-
- return _stub(EBADF);
-}
-weak_under_alias(write);
diff --git a/doc/sphinx/userguide/benchmarking.rst b/doc/sphinx/userguide/benchmarking.rst
deleted file mode 100644
index b308adb..0000000
--- a/doc/sphinx/userguide/benchmarking.rst
+++ /dev/null
@@ -1,55 +0,0 @@
-Benchmarking
-============
-
-The Dhrystone and CoreMark benchmarks are still only supported by the Legacy
-Freedom E SDK. When we port the benchmarks to Freedom Metal, we will update
-this section to describe the updated build steps.
-
-Dhrystone
----------
-
-After setting up the software and debug toolchains, you can build and
-execute everyone's favorite benchmark as follows:
-
-- Compile the benchmark with the command ``make software TARGET=freedom-e300-hifive1 PROGRAM=dhrystone LINK_TARGET=dhrystone``. Note that a slightly different linker file is used for Dhrystone which stores read only data in DTIM instead of external flash.
-- Run on the HiFive1 board with the command ``make upload TARGET=freedom-e300-hifive1 PROGRAM=dhrystone``.
- This will take a few minutes. Sample output is provided below.
-- Compute DMIPS by dividing the Dhrystones per Second result by 1757, which
- was the VAX 11/780's performance. In the example below, 729927 / 1757 =
- 415 DMIPS.
-- Compute DMIPS/MHz by dividing by the clock rate: in the example below,
- 415 / 268 = 1.55 DMIPS/MHz.
-
-.. code-block:: none
-
- core freq at 268694323 Hz
-
- Dhrystone Benchmark, Version 2.1 (Language: C)
-
- <snip>
-
- Microseconds for one run through Dhrystone: 1.3
- Dhrystones per Second: 729927.0
-
-CoreMark
---------
-
-We cannot distribute the CoreMark benchmark, but following are instructions
-to download and run the benchmark on the HiFive1 board:
-
-- Download CoreMark from EEMBC's web site and extract the archive from
- `<http://www.eembc.org/coremark/download.php>`_.
-- Copy the following files from the extracted archive into the
- ``software/coremark`` directory in this repository:
-
- - ``core_list_join.c``
- - ``core_main.c``
- - ``coremark.h``
- - ``core_matrix.c``
- - ``core_state.c``
- - ``core_util.c``
-
-- Compile the benchmark with the command ``make software PROGRAM=coremark``.
-- Run on the HiFive1 board with the command ``make upload PROGRAM=coremark``.
-- Divide the reported Iterations/Sec by the reported core frequency in MHz to
- obtain a CoreMarks/MHz value.
diff --git a/doc/sphinx/userguide/buildingcoreip.rst b/doc/sphinx/userguide/buildingcoreip.rst
index 5af29fb..7479931 100644
--- a/doc/sphinx/userguide/buildingcoreip.rst
+++ b/doc/sphinx/userguide/buildingcoreip.rst
@@ -8,7 +8,7 @@ To compile a bare-metal RISC-V program:
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=coreip-e31] software
+ make [PROGRAM=hello] [TARGET=coreip-e31] software
The square brackets in the above command indicate optional parameters for the
Make invocation. As you can see, the default values of these parameters tell
@@ -18,7 +18,7 @@ Core IP target, you would instead run the command
.. code-block:: bash
- make BSP=mee PROGRAM=timer-interrupt TARGET=coreip-s51 software
+ make PROGRAM=timer-interrupt TARGET=coreip-s51 software
Cleaning a Target Program Build Directory
-----------------------------------------
@@ -27,5 +27,5 @@ The ``clean`` target can be used to restore a target program's directory to a cl
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=coreip-e31] clean
+ make [PROGRAM=hello] [TARGET=coreip-e31] clean
diff --git a/doc/sphinx/userguide/buildingdevboard.rst b/doc/sphinx/userguide/buildingdevboard.rst
index b276d51..a5d364d 100644
--- a/doc/sphinx/userguide/buildingdevboard.rst
+++ b/doc/sphinx/userguide/buildingdevboard.rst
@@ -8,7 +8,7 @@ To compile a bare-metal RISC-V program:
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=sifive-hifive1] software
+ make [PROGRAM=hello] [TARGET=sifive-hifive1] software
The square brackets in the above command indicate optional parameters for the
Make invocation. As you can see, the default values of these parameters tell
@@ -18,7 +18,7 @@ Arty FPGA Evaluation target, you would instead run the command
.. code-block:: bash
- make BSP=mee PROGRAM=timer-interrupt TARGET=coreip-s51-arty software
+ make PROGRAM=timer-interrupt TARGET=coreip-s51-arty software
Uploading to the Target Board
-----------------------------
@@ -32,7 +32,7 @@ the development board into your computer and running the following command:
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=sifive-hifive1] upload
+ make [PROGRAM=hello] [TARGET=sifive-hifive1] upload
Debugging a Target Program
--------------------------
@@ -49,7 +49,7 @@ the development board into your computer and running the following command:
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=sifive-hifive1] debug
+ make [PROGRAM=hello] [TARGET=sifive-hifive1] debug
Cleaning a Target Program Build Directory
-----------------------------------------
@@ -58,5 +58,5 @@ The ``clean`` target can be used to restore a target program's directory to a cl
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=sifive-hifive1] clean
+ make [PROGRAM=hello] [TARGET=sifive-hifive1] clean
diff --git a/doc/sphinx/userguide/standalone.rst b/doc/sphinx/userguide/standalone.rst
index 61214d2..ee31362 100644
--- a/doc/sphinx/userguide/standalone.rst
+++ b/doc/sphinx/userguide/standalone.rst
@@ -15,5 +15,5 @@ be included in the generated project as a pre-built archive.
.. code-block:: bash
- make BSP=mee [PROGRAM=hello] [TARGET=sifive-hifive1] [INCLUDE_METAL_SOURCES=1] STANDALONE_DEST=/path/to/desired/location standalone
+ make [PROGRAM=hello] [TARGET=sifive-hifive1] [INCLUDE_METAL_SOURCES=1] STANDALONE_DEST=/path/to/desired/location standalone
diff --git a/scripts/libmetal.mk b/scripts/libmetal.mk
index 6e6aada..e00c9cf 100644
--- a/scripts/libmetal.mk
+++ b/scripts/libmetal.mk
@@ -1,10 +1,10 @@
#############################################################
-# Compiles an instance of the MEE targeted at $(TARGET)
+# Compiles an instance of Metal targeted at $(TARGET)
#############################################################
-MEE_SOURCE_PATH ?= freedom-metal
-MEE_LDSCRIPT = $(BSP_DIR)/metal.lds
-MEE_HEADER = $(BSP_DIR)/metal.h
+METAL_SOURCE_PATH ?= freedom-metal
+METAL_LDSCRIPT = $(BSP_DIR)/metal.lds
+METAL_HEADER = $(BSP_DIR)/metal.h
.PHONY: metal
metal: $(BSP_DIR)/install/stamp
@@ -14,14 +14,14 @@ $(BSP_DIR)/build/Makefile:
@mkdir -p $(dir $@)
cd $(dir $@) && \
CFLAGS="-march=$(RISCV_ARCH) -mabi=$(RISCV_ABI) -ffunction-sections -fdata-sections -g -mcmodel=$(RISCV_CMODEL)" \
- $(abspath $(MEE_SOURCE_PATH)/configure) \
+ $(abspath $(METAL_SOURCE_PATH)/configure) \
--host=$(CROSS_COMPILE) \
--prefix=$(abspath $(BSP_DIR)/install) \
--disable-maintainer-mode \
--with-preconfigured \
--with-machine-name=$(TARGET) \
- --with-machine-header=$(abspath $(MEE_HEADER)) \
- --with-machine-ldscript=$(abspath $(MEE_LDSCRIPT)) \
+ --with-machine-header=$(abspath $(METAL_HEADER)) \
+ --with-machine-ldscript=$(abspath $(METAL_LDSCRIPT)) \
--with-builtin-libgloss
touch -c $@
@@ -44,5 +44,5 @@ clean-metal:
clean: clean-metal
metal_install: metal
- $(MAKE) -C $(MEE_SOURCE_PATH) install
+ $(MAKE) -C $(METAL_SOURCE_PATH) install
diff --git a/scripts/standalone.mk b/scripts/standalone.mk
index 921d6a1..fd0c28d 100644
--- a/scripts/standalone.mk
+++ b/scripts/standalone.mk
@@ -76,8 +76,6 @@ SEGGER_JLINK_GDB_SERVER := JLinkGDBServer
# Software
#############################################################
-ifneq ($(BSP),legacy)
-
PROGRAM_ELF ?= $(SRC_DIR)/$(PROGRAM)
.PHONY: all
@@ -125,5 +123,3 @@ clean-software:
$(MAKE) -C $(SRC_DIR) clean
clean: clean-software
-endif # BSP != legacy
-
diff --git a/software/asm_main/Makefile b/software/asm_main/Makefile
deleted file mode 100644
index 40c1175..0000000
--- a/software/asm_main/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = asm_main
-ASM_SRCS += asm_main.S
-CFLAGS += -O2 -DNO_INIT
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/asm_main/asm_main.S b/software/asm_main/asm_main.S
deleted file mode 100644
index 6241bb1..0000000
--- a/software/asm_main/asm_main.S
+++ /dev/null
@@ -1,8 +0,0 @@
- .section .text
- .globl main
-
-
-main:
- add t0,zero,zero
-1: addi t0,t0, 1
- j 1b
diff --git a/software/clic_vectored/Makefile b/software/clic_vectored/Makefile
deleted file mode 100644
index d6e2342..0000000
--- a/software/clic_vectored/Makefile
+++ /dev/null
@@ -1,10 +0,0 @@
-TARGET = clic_vectored
-CFLAGS += -Og -fno-builtin-printf
-
-BSP_BASE = ../../bsp
-
-C_SRCS += clic_vectored.c
-
-C_SRCS += $(BSP_BASE)/drivers/clic/clic_driver.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/clic_vectored/clic_vectored.c b/software/clic_vectored/clic_vectored.c
deleted file mode 100644
index 37ea723..0000000
--- a/software/clic_vectored/clic_vectored.c
+++ /dev/null
@@ -1,197 +0,0 @@
-// See LICENSE for license details.
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "encoding.h"
-#include <unistd.h>
-#include "sifive/devices/clic.h"
-#include "clic/clic_driver.h"
-#include "sifive/devices/clint.h"
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#error 'local_interrupts' demo only supported for Core IP Eval Kits
-#endif
-
-// Global Variable used to show
-// software interrupts.
-volatile uint32_t g_debouncing;
-
-// vector table defined in init.c
-typedef void (*interrupt_function_ptr_t) (void);
-extern interrupt_function_ptr_t localISR[CLIC_NUM_INTERRUPTS];
-extern void default_handler(void);
-
-//clic data structure
-clic_instance_t clic;
-
-const char * instructions_msg = " \
-\n\
- SiFive, Inc\n\
-\n\
- 5555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555555555555555555555\n\
- 5555 555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
-5555555555555555555555555555 55555\n\
- 55555 555555555 55555\n\
- 55555 55555 55555\n\
- 55555 5 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 555555555\n\
- 55555\n\
- 5\n\
-\n\
-E2 Core IP Eval Kit 'clic_vectored' demo.\n\
-This demo uses buttons 0, 1, and 2 on the\n\
-Arty board to trigger vectored clic interrupts.\n\
-The higher the button number, the higher the\n\
-interupt priority. Button 0's handler runs for\n\
-10 seconds, button 1's for 5, and button 2's for 1.\n\
-Preemption is enabled so that higher priority\n\
-interrupts can be triggered while in low priority\n\
-handlers. The demo also uses the CLIC's software\n\
-interrupt to pend a lower priority interrupt from\n\
-button 2's handler.\n\
-\n\
-Note the buttons are wired directly into the local\n\
-interrupts, so a given interrupt will stay asserted\n\
-as long as the button is being pushed.\n\
-\n\
-This demo works for both the E20 and E21 FPGA\n\
-as long as CLIC_CONFIG_BITS matches the desired\n\
-core.\n\
-\n";
-
-void print_instructions() {
- write (STDERR_FILENO, instructions_msg, strlen(instructions_msg));
-}
-
-
-//busy wait for the specified time
-void wait_ms(uint64_t ms) {
- static const uint64_t ms_tick = RTC_FREQ/1000;
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- uint64_t then = (ms_tick * ms) + *mtime;
- while(*mtime<then);
-}
-
-void button_0_isr(void) __attribute__((interrupt("SiFive-CLIC-preemptible")));
-void button_0_isr(void) {
- // Toggle Red LED
- uint8_t level = clic_get_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_0));
- printf("Button 0 was pressed, interrupt level %d. Toggle Red.\n", level);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << RED_LED_OFFSET);
- wait_ms(10000);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << RED_LED_OFFSET);
-}
-
-void button_0_setup(void) {
- clic_install_handler(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_0), button_0_isr);
- clic_set_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_0), 1);
- clic_enable_interrupt(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_0));
-}
-
-void button_1_isr(void) __attribute__((interrupt("SiFive-CLIC-preemptible")));
-void button_1_isr(void) {
- // Toggle Red LED
- uint8_t level = clic_get_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_1));
- printf("Button 1 was pressed, interrupt level %d. Toggle Blue.\n", level);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << BLUE_LED_OFFSET);
- wait_ms(5000);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << BLUE_LED_OFFSET);
-}
-
-void button_1_setup(void) {
- clic_install_handler(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_1), button_1_isr);
- clic_set_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_1), 2);
- clic_enable_interrupt(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_1));
-}
-
-void button_2_isr(void) __attribute__((interrupt("SiFive-CLIC-preemptible")));
-void button_2_isr(void) {
- // Toggle Red LED
- uint8_t level = clic_get_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_2));
- printf("Button 2 was pressed, interrupt level %d. Pending CSIPID and toggle Green.\n", level);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << GREEN_LED_OFFSET);
- //pend a software interrupt
- clic_set_pending(&clic, CSIPID);
- wait_ms(1000);
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << GREEN_LED_OFFSET);
-}
-
-void button_2_setup(void) {
- clic_install_handler(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_2), button_2_isr);
- clic_set_int_level(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_2), 3);
- clic_enable_interrupt(&clic, (LOCALINTIDBASE + LOCAL_INT_BTN_2));
-}
-
-/*Entry Point for Machine Software Interrupt Handler*/
-uint32_t COUNT;
-void csip_isr()__attribute((interrupt));
-void csip_isr() {
- //clear the SW interrupt
- CLIC0_REG8(CLIC_INTIP + CSIPID) = 0;
- COUNT++;
-}
-
-void csip_setup(void) {
- clic_install_handler(&clic, CSIPID, csip_isr);
- clic_set_int_level(&clic, CSIPID, 1);
- clic_enable_interrupt(&clic, CSIPID);
-}
-
-void config_gpio() {
- // Configure LEDs as outputs.
- GPIO_REG(GPIO_INPUT_EN) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_VAL) &= ((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET)| (0x1 << BLUE_LED_OFFSET)) ;
-}
-
-int main(int argc, char **argv)
-{
- clear_csr(mstatus, MSTATUS_MIE);
- clear_csr(mie, IRQ_M_SOFT);
- clear_csr(mie, IRQ_M_TIMER);
-
- //initialize clic registers and vector table
- clic_init(&clic, CLIC_HART0_ADDR,
- (interrupt_function_ptr_t*)localISR,
- default_handler,
- CLIC_NUM_INTERRUPTS,
- CLIC_CONFIG_BITS);
-
- //use all 4 config bits for levels, no shv
- clic_set_cliccfg(&clic, (CLIC_CONFIG_BITS<<1));
-
- //initialize gpio and buttons.
- //each button registers an interrupt handler
- config_gpio();
- button_0_setup();
- button_1_setup();
- button_2_setup();
- csip_setup();
-
- // Enable all global interrupts
- set_csr(mstatus, MSTATUS_MIE);
- print_instructions();
-
- while(1) {
- wait_ms(10000);
- printf("Count=%d\n", COUNT);
- //Trigger a SW interrupt
- clic_set_pending(&clic, CSIPID);
- }
-
- return 0;
-
-}
diff --git a/software/coremark/.gitignore b/software/coremark/.gitignore
deleted file mode 100644
index 6bd8438..0000000
--- a/software/coremark/.gitignore
+++ /dev/null
@@ -1,9 +0,0 @@
-/*.o
-/coremark
-/core_list_join.c
-/core_main.c
-/coremark.h
-/core_matrix.c
-/core_state.c
-/core_util.c
-/trans.c
diff --git a/software/coremark/.unsupported-boards b/software/coremark/.unsupported-boards
deleted file mode 100644
index 9221334..0000000
--- a/software/coremark/.unsupported-boards
+++ /dev/null
@@ -1,6 +0,0 @@
-# We don't deliver the full coremark sources for license reasons, so this can't
-# run in regression.
-freedom-e300-hifive1
-freedom-e300-arty
-coreplexip-e31-arty
-coreplexip-e51-arty
diff --git a/software/coremark/Makefile b/software/coremark/Makefile
deleted file mode 100644
index b57151e..0000000
--- a/software/coremark/Makefile
+++ /dev/null
@@ -1,20 +0,0 @@
-TARGET := coremark
-
-C_SRCS := \
- core_list_join.c \
- core_main.c \
- core_matrix.c \
- core_state.c \
- core_util.c \
- core_portme.c \
-
-HEADERS := \
- coremark.h \
- core_portme.h \
-
-CFLAGS := -O2 -fno-common -funroll-loops -finline-functions --param max-inline-insns-auto=20 -falign-functions=4 -falign-jumps=4 -falign-loops=4
-CFLAGS += -DFLAGS_STR=\""$(CFLAGS)"\"
-CFLAGS += -DITERATIONS=10000 -DPERFORMANCE_RUN=1
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/coremark/core_portme.c b/software/coremark/core_portme.c
deleted file mode 100644
index cbf1396..0000000
--- a/software/coremark/core_portme.c
+++ /dev/null
@@ -1,52 +0,0 @@
-#include <stdio.h>
-#include <stdlib.h>
-#include "coremark.h"
-#include "platform.h"
-#include "encoding.h"
-
-#if VALIDATION_RUN
- volatile ee_s32 seed1_volatile=0x3415;
- volatile ee_s32 seed2_volatile=0x3415;
- volatile ee_s32 seed3_volatile=0x66;
-#endif
-
-#if PERFORMANCE_RUN
- volatile ee_s32 seed1_volatile=0x0;
- volatile ee_s32 seed2_volatile=0x0;
- volatile ee_s32 seed3_volatile=0x66;
-#endif
-
-#if PROFILE_RUN
- volatile ee_s32 seed1_volatile=0x8;
- volatile ee_s32 seed2_volatile=0x8;
- volatile ee_s32 seed3_volatile=0x8;
-#endif
-
-volatile ee_s32 seed4_volatile=ITERATIONS;
-volatile ee_s32 seed5_volatile=0;
-
-static CORE_TICKS t0, t1;
-
-void start_time(void)
-{
- t0 = get_timer_value();
-}
-
-void stop_time(void)
-{
- t1 = get_timer_value();
-}
-
-CORE_TICKS get_time(void)
-{
- return t1 - t0;
-}
-
-secs_ret time_in_secs(CORE_TICKS ticks)
-{
- // scale timer down to avoid uint64_t -> double conversion in RV32
- int scale = 256;
- uint32_t delta = ticks / scale;
- uint32_t freq = get_timer_freq() / scale;
- return delta / (double)freq;
-}
diff --git a/software/coremark/core_portme.h b/software/coremark/core_portme.h
deleted file mode 100644
index 82298d6..0000000
--- a/software/coremark/core_portme.h
+++ /dev/null
@@ -1,58 +0,0 @@
-#ifndef FESDK_CORE_PORTME_H
-#define FESDK_CORE_PORTME_H
-
-#include <stdint.h>
-#include <stddef.h>
-
-#define HAS_FLOAT 1
-#define HAS_TIME_H 1
-#define USE_CLOCK 1
-#define HAS_STDIO 1
-#define HAS_PRINTF 1
-#define SEED_METHOD SEED_VOLATILE
-#define CORE_TICKS uint64_t
-#define ee_u8 uint8_t
-#define ee_u16 uint16_t
-#define ee_u32 uint32_t
-#define ee_s16 int16_t
-#define ee_s32 int32_t
-#define ee_ptr_int uintptr_t
-#define ee_size_t size_t
-#define COMPILER_FLAGS FLAGS_STR
-
-#define align_mem(x) (void *)(((ee_ptr_int)(x) + sizeof(ee_u32) - 1) & -sizeof(ee_u32))
-
-#ifdef __GNUC__
-# define COMPILER_VERSION "GCC"__VERSION__
-#else
-# error
-#endif
-
-#define MEM_METHOD MEM_STACK
-#define MEM_LOCATION "STACK"
-
-#define MAIN_HAS_NOARGC 0
-#define MAIN_HAS_NORETURN 0
-
-#define MULTITHREAD 1
-#define USE_PTHREAD 0
-#define USE_FORK 0
-#define USE_SOCKET 0
-
-#define default_num_contexts MULTITHREAD
-
-typedef int core_portable;
-static void portable_init(core_portable *p, int *argc, char *argv[]) {}
-static void portable_fini(core_portable *p) {}
-
-#if !defined(PROFILE_RUN) && !defined(PERFORMANCE_RUN) && !defined(VALIDATION_RUN)
-#if (TOTAL_DATA_SIZE==1200)
-#define PROFILE_RUN 1
-#elif (TOTAL_DATA_SIZE==2000)
-#define PERFORMANCE_RUN 1
-#else
-#define VALIDATION_RUN 1
-#endif
-#endif
-
-#endif
diff --git a/software/coreplexip_welcome/.unsupported-boards b/software/coreplexip_welcome/.unsupported-boards
deleted file mode 100644
index 33cea3a..0000000
--- a/software/coreplexip_welcome/.unsupported-boards
+++ /dev/null
@@ -1,2 +0,0 @@
-freedom-e300-arty
-freedom-e300-hifive1
diff --git a/software/coreplexip_welcome/Makefile b/software/coreplexip_welcome/Makefile
deleted file mode 100644
index e56ed12..0000000
--- a/software/coreplexip_welcome/Makefile
+++ /dev/null
@@ -1,8 +0,0 @@
-TARGET = coreplexip_welcome
-CFLAGS += -O2 -fno-builtin-printf -DNO_INIT
-
-BSP_BASE = ../../bsp
-
-C_SRCS += coreplexip_welcome.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/coreplexip_welcome/coreplexip_welcome.c b/software/coreplexip_welcome/coreplexip_welcome.c
deleted file mode 100644
index c090b37..0000000
--- a/software/coreplexip_welcome/coreplexip_welcome.c
+++ /dev/null
@@ -1,124 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdint.h>
-#include <stdbool.h>
-#include <stdatomic.h>
-#include "encoding.h"
-#include <platform.h>
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#error 'coreplexip_welcome' demo only supported for Coreplex IP Eval Kits
-#endif
-
-static const char sifive_msg[] = "\n\r\
-\n\r\
- SIFIVE, INC.\n\r\
-\n\r\
- 5555555555555555555555555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555555555555555555555\n\r\
- 5555 555555555555555555555555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
-5555555555555555555555555555 55555\n\r\
- 55555 555555555 55555\n\r\
- 55555 55555 55555\n\r\
- 55555 5 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 555555555\n\r\
- 55555\n\r\
- 5\n\r\
-\n\r\
-";
-
-#if __riscv_xlen == 32
- static const char welcome_msg[] = "\n\r\
-\n\r\
-Welcome to the E31 Coreplex IP FPGA Evaluation Kit!\n\r\
-\n\r";
-#else
-static const char welcome_msg[] = "\n\r\
-\n\r\
-Welcome to the E51 Coreplex IP FPGA Evaluation Kit!\n\r\
-\n\r";
-#endif
-
-static void _putc(char c) {
- while ((int32_t) UART0_REG(UART_REG_TXFIFO) < 0);
- UART0_REG(UART_REG_TXFIFO) = c;
-}
-
-int _getc(char * c){
- int32_t val = (int32_t) UART0_REG(UART_REG_RXFIFO);
- if (val > 0) {
- *c = val & 0xFF;
- return 1;
- }
- return 0;
-}
-
-static void _puts(const char * s) {
- while (*s != '\0'){
- _putc(*s++);
- }
-}
-
-int main (void){
-
- // 115200 Baud Rate at (65 / 2) MHz
- UART0_REG(UART_REG_DIV) = 282;
- UART0_REG(UART_REG_TXCTRL) = UART_TXEN;
- UART0_REG(UART_REG_RXCTRL) = UART_RXEN;
-
- // Wait a bit because we were changing the GPIOs
- volatile int i=0;
- while(i < 10000){i++;}
-
- _puts(sifive_msg);
-
- _puts(welcome_msg);
-
- uint16_t r=0x3F;
- uint16_t g=0;
- uint16_t b=0;
- // Set up RGB PWM
-
- PWM0_REG(PWM_CFG) = 0;
- PWM0_REG(PWM_CFG) = (PWM_CFG_ENALWAYS) | (PWM_CFG_ZEROCMP) | (PWM_CFG_DEGLITCH);
- PWM0_REG(PWM_COUNT) = 0;
-
- // The LEDs are intentionally left somewhat dim.
- PWM0_REG(PWM_CMP0) = 0xFE;
-
- while(1){
- volatile uint64_t * now = (volatile uint64_t*)(CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t then = *now + 400;
- while (*now < then) { }
-
- if(r > 0 && b == 0){
- r--;
- g++;
- }
- if(g > 0 && r == 0){
- g--;
- b++;
- }
- if(b > 0 && g == 0){
- r++;
- b--;
- }
-
- PWM0_REG(PWM_CMP1) = 0xFF - (r >> 2);
- PWM0_REG(PWM_CMP2) = 0xFF - (g >> 2);
- PWM0_REG(PWM_CMP3) = 0xFF - (b >> 2);
-
- }// While (1)
-}
-
diff --git a/software/demo_gpio/.gitignore b/software/demo_gpio/.gitignore
deleted file mode 100644
index 35566c7..0000000
--- a/software/demo_gpio/.gitignore
+++ /dev/null
@@ -1 +0,0 @@
-demo_gpio
diff --git a/software/demo_gpio/Makefile b/software/demo_gpio/Makefile
deleted file mode 100644
index b181c5f..0000000
--- a/software/demo_gpio/Makefile
+++ /dev/null
@@ -1,9 +0,0 @@
-TARGET = demo_gpio
-CFLAGS += -O2 -fno-builtin-printf -DUSE_PLIC -DUSE_M_TIME
-
-BSP_BASE = ../../bsp
-
-C_SRCS += demo_gpio.c
-C_SRCS += $(BSP_BASE)/drivers/plic/plic_driver.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/demo_gpio/demo_gpio.c b/software/demo_gpio/demo_gpio.c
deleted file mode 100644
index 8fe03e1..0000000
--- a/software/demo_gpio/demo_gpio.c
+++ /dev/null
@@ -1,252 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "plic/plic_driver.h"
-#include "encoding.h"
-#include <unistd.h>
-#include "stdatomic.h"
-
-void reset_demo (void);
-
-// Structures for registering different interrupt handlers
-// for different parts of the application.
-typedef void (*function_ptr_t) (void);
-
-void no_interrupt_handler (void) {};
-
-function_ptr_t g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS];
-
-
-// Instance data for the PLIC.
-
-plic_instance_t g_plic;
-
-
-/*Entry Point for PLIC Interrupt Handler*/
-void handle_m_ext_interrupt(){
- plic_source int_num = PLIC_claim_interrupt(&g_plic);
- if ((int_num >=1 ) && (int_num < PLIC_NUM_INTERRUPTS)) {
- g_ext_interrupt_handlers[int_num]();
- }
- else {
- exit(1 + (uintptr_t) int_num);
- }
- PLIC_complete_interrupt(&g_plic, int_num);
-}
-
-
-/*Entry Point for Machine Timer Interrupt Handler*/
-void handle_m_time_interrupt(){
-
- clear_csr(mie, MIP_MTIP);
-
- // Reset the timer for 3s in the future.
- // This also clears the existing timer interrupt.
-
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- uint64_t now = *mtime;
- uint64_t then = now + 2 * RTC_FREQ;
- *mtimecmp = then;
-
- // read the current value of the LEDS and invert them.
- uint32_t leds = GPIO_REG(GPIO_OUTPUT_VAL);
-
- GPIO_REG(GPIO_OUTPUT_VAL) ^= ((0x1 << RED_LED_OFFSET) |
- (0x1 << GREEN_LED_OFFSET) |
- (0x1 << BLUE_LED_OFFSET));
-
- // Re-enable the timer interrupt.
- set_csr(mie, MIP_MTIP);
-
-}
-
-
-const char * instructions_msg = " \
-\n\
- SIFIVE, INC.\n\
-\n\
- 5555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555555555555555555555\n\
- 5555 555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
-5555555555555555555555555555 55555\n\
- 55555 555555555 55555\n\
- 55555 55555 55555\n\
- 55555 5 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 555555555\n\
- 55555\n\
- 5\n\
-\n\
-SiFive E-Series Software Development Kit 'demo_gpio' program.\n\
-Every 2 second, the Timer Interrupt will invert the LEDs.\n\
-(Arty Dev Kit Only): Press Buttons 0, 1, 2 to Set the LEDs.\n\
-Pin 19 (HiFive1) or A5 (Arty Dev Kit) is being bit-banged\n\
-for GPIO speed demonstration.\n\
-\n\
- ";
-
-void print_instructions() {
-
- write (STDOUT_FILENO, instructions_msg, strlen(instructions_msg));
-
-}
-
-#ifdef HAS_BOARD_BUTTONS
-void button_0_handler(void) {
-
- // Red LED on
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << RED_LED_OFFSET);
-
- // Clear the GPIO Pending interrupt by writing 1.
- GPIO_REG(GPIO_RISE_IP) = (0x1 << BUTTON_0_OFFSET);
-
-};
-
-void button_1_handler(void) {
-
- // Green LED On
- GPIO_REG(GPIO_OUTPUT_VAL) |= (1 << GREEN_LED_OFFSET);
-
- // Clear the GPIO Pending interrupt by writing 1.
- GPIO_REG(GPIO_RISE_IP) = (0x1 << BUTTON_1_OFFSET);
-
-};
-
-
-void button_2_handler(void) {
-
- // Blue LED On
- GPIO_REG(GPIO_OUTPUT_VAL) |= (1 << BLUE_LED_OFFSET);
-
- GPIO_REG(GPIO_RISE_IP) = (0x1 << BUTTON_2_OFFSET);
-
-};
-#endif
-
-void reset_demo (){
-
- // Disable the machine & timer interrupts until setup is done.
-
- clear_csr(mie, MIP_MEIP);
- clear_csr(mie, MIP_MTIP);
-
- for (int ii = 0; ii < PLIC_NUM_INTERRUPTS; ii ++){
- g_ext_interrupt_handlers[ii] = no_interrupt_handler;
- }
-
-#ifdef HAS_BOARD_BUTTONS
- g_ext_interrupt_handlers[INT_DEVICE_BUTTON_0] = button_0_handler;
- g_ext_interrupt_handlers[INT_DEVICE_BUTTON_1] = button_1_handler;
- g_ext_interrupt_handlers[INT_DEVICE_BUTTON_2] = button_2_handler;
-#endif
-
- print_instructions();
-
-#ifdef HAS_BOARD_BUTTONS
-
- // Have to enable the interrupt both at the GPIO level,
- // and at the PLIC level.
- PLIC_enable_interrupt (&g_plic, INT_DEVICE_BUTTON_0);
- PLIC_enable_interrupt (&g_plic, INT_DEVICE_BUTTON_1);
- PLIC_enable_interrupt (&g_plic, INT_DEVICE_BUTTON_2);
-
- // Priority must be set > 0 to trigger the interrupt.
- PLIC_set_priority(&g_plic, INT_DEVICE_BUTTON_0, 1);
- PLIC_set_priority(&g_plic, INT_DEVICE_BUTTON_1, 1);
- PLIC_set_priority(&g_plic, INT_DEVICE_BUTTON_2, 1);
-
- GPIO_REG(GPIO_RISE_IE) |= (1 << BUTTON_0_OFFSET);
- GPIO_REG(GPIO_RISE_IE) |= (1 << BUTTON_1_OFFSET);
- GPIO_REG(GPIO_RISE_IE) |= (1 << BUTTON_2_OFFSET);
-
-#endif
-
- // Set the machine timer to go off in 3 seconds.
- // The
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- uint64_t now = *mtime;
- uint64_t then = now + 2*RTC_FREQ;
- *mtimecmp = then;
-
- // Enable the Machine-External bit in MIE
- set_csr(mie, MIP_MEIP);
-
- // Enable the Machine-Timer bit in MIE
- set_csr(mie, MIP_MTIP);
-
- // Enable interrupts in general.
- set_csr(mstatus, MSTATUS_MIE);
-}
-
-int main(int argc, char **argv)
-{
- // Set up the GPIOs such that the LED GPIO
- // can be used as both Inputs and Outputs.
-
-
-#ifdef HAS_BOARD_BUTTONS
- GPIO_REG(GPIO_OUTPUT_EN) &= ~((0x1 << BUTTON_0_OFFSET) | (0x1 << BUTTON_1_OFFSET) | (0x1 << BUTTON_2_OFFSET));
- GPIO_REG(GPIO_PULLUP_EN) &= ~((0x1 << BUTTON_0_OFFSET) | (0x1 << BUTTON_1_OFFSET) | (0x1 << BUTTON_2_OFFSET));
- GPIO_REG(GPIO_INPUT_EN) |= ((0x1 << BUTTON_0_OFFSET) | (0x1 << BUTTON_1_OFFSET) | (0x1 << BUTTON_2_OFFSET));
-#endif
-
- GPIO_REG(GPIO_INPUT_EN) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << BLUE_LED_OFFSET) ;
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET)) ;
-
-
- // For Bit-banging with Atomics demo.
-
- uint32_t bitbang_mask = 0;
-#ifdef _SIFIVE_HIFIVE1_H
- bitbang_mask = (1 << PIN_19_OFFSET);
-#else
-#ifdef _SIFIVE_COREPLEXIP_ARTY_H
- bitbang_mask = (0x1 << JA_0_OFFSET);
-#endif
-#endif
-
- GPIO_REG(GPIO_OUTPUT_EN) |= bitbang_mask;
-
- /**************************************************************************
- * Set up the PLIC
- *
- *************************************************************************/
- PLIC_init(&g_plic,
- PLIC_CTRL_ADDR,
- PLIC_NUM_INTERRUPTS,
- PLIC_NUM_PRIORITIES);
-
- reset_demo();
-
- /**************************************************************************
- * Demonstrate fast GPIO bit-banging.
- * One can bang it faster than this if you know
- * the entire OUTPUT_VAL that you want to write, but
- * Atomics give a quick way to control a single bit.
- *************************************************************************/
- // For Bit-banging with Atomics demo.
-
- while (1){
- atomic_fetch_xor_explicit(&GPIO_REG(GPIO_OUTPUT_VAL), bitbang_mask, memory_order_relaxed);
- }
-
- return 0;
-
-}
diff --git a/software/dhrystone/.gitignore b/software/dhrystone/.gitignore
deleted file mode 100644
index eb51a6c..0000000
--- a/software/dhrystone/.gitignore
+++ /dev/null
@@ -1 +0,0 @@
-dhrystone
diff --git a/software/dhrystone/Makefile b/software/dhrystone/Makefile
deleted file mode 100644
index 4602653..0000000
--- a/software/dhrystone/Makefile
+++ /dev/null
@@ -1,21 +0,0 @@
-TARGET := dhrystone
-
-ASM_SRCS :=
-C_SRCS := dhry_stubs.c dhry_printf.c
-HEADERS := dhry.h
-
-DHRY_SRCS := dhry_1.c dhry_2.c
-DHRY_CFLAGS := -O3 -DTIME -fno-inline -fno-builtin-printf -Wno-implicit -mcmodel=medany -march=$(RISCV_ARCH) -mabi=$(RISCV_ABI)
-
-XLEN ?= 32
-CFLAGS := -O3 -fno-common -mcmodel=medany -march=$(RISCV_ARCH) -mabi=$(RISCV_ABI) -mexplicit-relocs -DNOENUM -falign-functions=4
-LDFLAGS := -Wl,--wrap=scanf -Wl,--wrap=printf -march=$(RISCV_ARCH) -mabi=$(RISCV_ABI) -mcmodel=medany
-
-DHRY_OBJS := $(patsubst %.c,%.o,$(DHRY_SRCS))
-LINK_OBJS := $(DHRY_OBJS)
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
-
-$(DHRY_OBJS): %.o: %.c $(HEADERS)
- $(CC) $(CFLAGS) $(DHRY_CFLAGS) -c -o $@ $<
diff --git a/software/dhrystone/dhry.h b/software/dhrystone/dhry.h
deleted file mode 100644
index b556ecc..0000000
--- a/software/dhrystone/dhry.h
+++ /dev/null
@@ -1,423 +0,0 @@
-/*
- ****************************************************************************
- *
- * "DHRYSTONE" Benchmark Program
- * -----------------------------
- *
- * Version: C, Version 2.1
- *
- * File: dhry.h (part 1 of 3)
- *
- * Date: May 25, 1988
- *
- * Author: Reinhold P. Weicker
- * Siemens AG, AUT E 51
- * Postfach 3220
- * 8520 Erlangen
- * Germany (West)
- * Phone: [+49]-9131-7-20330
- * (8-17 Central European Time)
- * Usenet: ..!mcsun!unido!estevax!weicker
- *
- * Original Version (in Ada) published in
- * "Communications of the ACM" vol. 27., no. 10 (Oct. 1984),
- * pp. 1013 - 1030, together with the statistics
- * on which the distribution of statements etc. is based.
- *
- * In this C version, the following C library functions are used:
- * - strcpy, strcmp (inside the measurement loop)
- * - printf, scanf (outside the measurement loop)
- * In addition, Berkeley UNIX system calls "times ()" or "time ()"
- * are used for execution time measurement. For measurements
- * on other systems, these calls have to be changed.
- *
- * Collection of Results:
- * Reinhold Weicker (address see above) and
- *
- * Rick Richardson
- * PC Research. Inc.
- * 94 Apple Orchard Drive
- * Tinton Falls, NJ 07724
- * Phone: (201) 389-8963 (9-17 EST)
- * Usenet: ...!uunet!pcrat!rick
- *
- * Please send results to Rick Richardson and/or Reinhold Weicker.
- * Complete information should be given on hardware and software used.
- * Hardware information includes: Machine type, CPU, type and size
- * of caches; for microprocessors: clock frequency, memory speed
- * (number of wait states).
- * Software information includes: Compiler (and runtime library)
- * manufacturer and version, compilation switches, OS version.
- * The Operating System version may give an indication about the
- * compiler; Dhrystone itself performs no OS calls in the measurement loop.
- *
- * The complete output generated by the program should be mailed
- * such that at least some checks for correctness can be made.
- *
- ***************************************************************************
- *
- * History: This version C/2.1 has been made for two reasons:
- *
- * 1) There is an obvious need for a common C version of
- * Dhrystone, since C is at present the most popular system
- * programming language for the class of processors
- * (microcomputers, minicomputers) where Dhrystone is used most.
- * There should be, as far as possible, only one C version of
- * Dhrystone such that results can be compared without
- * restrictions. In the past, the C versions distributed
- * by Rick Richardson (Version 1.1) and by Reinhold Weicker
- * had small (though not significant) differences.
- *
- * 2) As far as it is possible without changes to the Dhrystone
- * statistics, optimizing compilers should be prevented from
- * removing significant statements.
- *
- * This C version has been developed in cooperation with
- * Rick Richardson (Tinton Falls, NJ), it incorporates many
- * ideas from the "Version 1.1" distributed previously by
- * him over the UNIX network Usenet.
- * I also thank Chaim Benedelac (National Semiconductor),
- * David Ditzel (SUN), Earl Killian and John Mashey (MIPS),
- * Alan Smith and Rafael Saavedra-Barrera (UC at Berkeley)
- * for their help with comments on earlier versions of the
- * benchmark.
- *
- * Changes: In the initialization part, this version follows mostly
- * Rick Richardson's version distributed via Usenet, not the
- * version distributed earlier via floppy disk by Reinhold Weicker.
- * As a concession to older compilers, names have been made
- * unique within the first 8 characters.
- * Inside the measurement loop, this version follows the
- * version previously distributed by Reinhold Weicker.
- *
- * At several places in the benchmark, code has been added,
- * but within the measurement loop only in branches that
- * are not executed. The intention is that optimizing compilers
- * should be prevented from moving code out of the measurement
- * loop, or from removing code altogether. Since the statements
- * that are executed within the measurement loop have NOT been
- * changed, the numbers defining the "Dhrystone distribution"
- * (distribution of statements, operand types and locality)
- * still hold. Except for sophisticated optimizing compilers,
- * execution times for this version should be the same as
- * for previous versions.
- *
- * Since it has proven difficult to subtract the time for the
- * measurement loop overhead in a correct way, the loop check
- * has been made a part of the benchmark. This does have
- * an impact - though a very minor one - on the distribution
- * statistics which have been updated for this version.
- *
- * All changes within the measurement loop are described
- * and discussed in the companion paper "Rationale for
- * Dhrystone version 2".
- *
- * Because of the self-imposed limitation that the order and
- * distribution of the executed statements should not be
- * changed, there are still cases where optimizing compilers
- * may not generate code for some statements. To a certain
- * degree, this is unavoidable for small synthetic benchmarks.
- * Users of the benchmark are advised to check code listings
- * whether code is generated for all statements of Dhrystone.
- *
- * Version 2.1 is identical to version 2.0 distributed via
- * the UNIX network Usenet in March 1988 except that it corrects
- * some minor deficiencies that were found by users of version 2.0.
- * The only change within the measurement loop is that a
- * non-executed "else" part was added to the "if" statement in
- * Func_3, and a non-executed "else" part removed from Proc_3.
- *
- ***************************************************************************
- *
- * Defines: The following "Defines" are possible:
- * -DREG=register (default: Not defined)
- * As an approximation to what an average C programmer
- * might do, the "register" storage class is applied
- * (if enabled by -DREG=register)
- * - for local variables, if they are used (dynamically)
- * five or more times
- * - for parameters if they are used (dynamically)
- * six or more times
- * Note that an optimal "register" strategy is
- * compiler-dependent, and that "register" declarations
- * do not necessarily lead to faster execution.
- * -DNOSTRUCTASSIGN (default: Not defined)
- * Define if the C compiler does not support
- * assignment of structures.
- * -DNOENUMS (default: Not defined)
- * Define if the C compiler does not support
- * enumeration types.
- * -DTIMES (default)
- * -DTIME
- * The "times" function of UNIX (returning process times)
- * or the "time" function (returning wallclock time)
- * is used for measurement.
- * For single user machines, "time ()" is adequate. For
- * multi-user machines where you cannot get single-user
- * access, use the "times ()" function. If you have
- * neither, use a stopwatch in the dead of night.
- * "printf"s are provided marking the points "Start Timer"
- * and "Stop Timer". DO NOT use the UNIX "time(1)"
- * command, as this will measure the total time to
- * run this program, which will (erroneously) include
- * the time to allocate storage (malloc) and to perform
- * the initialization.
- * -DHZ=nnn
- * In Berkeley UNIX, the function "times" returns process
- * time in 1/HZ seconds, with HZ = 60 for most systems.
- * CHECK YOUR SYSTEM DESCRIPTION BEFORE YOU JUST APPLY
- * A VALUE.
- *
- ***************************************************************************
- *
- * Compilation model and measurement (IMPORTANT):
- *
- * This C version of Dhrystone consists of three files:
- * - dhry.h (this file, containing global definitions and comments)
- * - dhry_1.c (containing the code corresponding to Ada package Pack_1)
- * - dhry_2.c (containing the code corresponding to Ada package Pack_2)
- *
- * The following "ground rules" apply for measurements:
- * - Separate compilation
- * - No procedure merging
- * - Otherwise, compiler optimizations are allowed but should be indicated
- * - Default results are those without register declarations
- * See the companion paper "Rationale for Dhrystone Version 2" for a more
- * detailed discussion of these ground rules.
- *
- * For 16-Bit processors (e.g. 80186, 80286), times for all compilation
- * models ("small", "medium", "large" etc.) should be given if possible,
- * together with a definition of these models for the compiler system used.
- *
- **************************************************************************
- *
- * Dhrystone (C version) statistics:
- *
- * [Comment from the first distribution, updated for version 2.
- * Note that because of language differences, the numbers are slightly
- * different from the Ada version.]
- *
- * The following program contains statements of a high level programming
- * language (here: C) in a distribution considered representative:
- *
- * assignments 52 (51.0 %)
- * control statements 33 (32.4 %)
- * procedure, function calls 17 (16.7 %)
- *
- * 103 statements are dynamically executed. The program is balanced with
- * respect to the three aspects:
- *
- * - statement type
- * - operand type
- * - operand locality
- * operand global, local, parameter, or constant.
- *
- * The combination of these three aspects is balanced only approximately.
- *
- * 1. Statement Type:
- * ----------------- number
- *
- * V1 = V2 9
- * (incl. V1 = F(..)
- * V = Constant 12
- * Assignment, 7
- * with array element
- * Assignment, 6
- * with record component
- * --
- * 34 34
- *
- * X = Y +|-|"&&"|"|" Z 5
- * X = Y +|-|"==" Constant 6
- * X = X +|- 1 3
- * X = Y *|/ Z 2
- * X = Expression, 1
- * two operators
- * X = Expression, 1
- * three operators
- * --
- * 18 18
- *
- * if .... 14
- * with "else" 7
- * without "else" 7
- * executed 3
- * not executed 4
- * for ... 7 | counted every time
- * while ... 4 | the loop condition
- * do ... while 1 | is evaluated
- * switch ... 1
- * break 1
- * declaration with 1
- * initialization
- * --
- * 34 34
- *
- * P (...) procedure call 11
- * user procedure 10
- * library procedure 1
- * X = F (...)
- * function call 6
- * user function 5
- * library function 1
- * --
- * 17 17
- * ---
- * 103
- *
- * The average number of parameters in procedure or function calls
- * is 1.82 (not counting the function values as implicit parameters).
- *
- *
- * 2. Operators
- * ------------
- * number approximate
- * percentage
- *
- * Arithmetic 32 50.8
- *
- * + 21 33.3
- * - 7 11.1
- * * 3 4.8
- * / (int div) 1 1.6
- *
- * Comparison 27 42.8
- *
- * == 9 14.3
- * /= 4 6.3
- * > 1 1.6
- * < 3 4.8
- * >= 1 1.6
- * <= 9 14.3
- *
- * Logic 4 6.3
- *
- * && (AND-THEN) 1 1.6
- * | (OR) 1 1.6
- * ! (NOT) 2 3.2
- *
- * -- -----
- * 63 100.1
- *
- *
- * 3. Operand Type (counted once per operand reference):
- * ---------------
- * number approximate
- * percentage
- *
- * Integer 175 72.3 %
- * Character 45 18.6 %
- * Pointer 12 5.0 %
- * String30 6 2.5 %
- * Array 2 0.8 %
- * Record 2 0.8 %
- * --- -------
- * 242 100.0 %
- *
- * When there is an access path leading to the final operand (e.g. a record
- * component), only the final data type on the access path is counted.
- *
- *
- * 4. Operand Locality:
- * -------------------
- * number approximate
- * percentage
- *
- * local variable 114 47.1 %
- * global variable 22 9.1 %
- * parameter 45 18.6 %
- * value 23 9.5 %
- * reference 22 9.1 %
- * function result 6 2.5 %
- * constant 55 22.7 %
- * --- -------
- * 242 100.0 %
- *
- *
- * The program does not compute anything meaningful, but it is syntactically
- * and semantically correct. All variables have a value assigned to them
- * before they are used as a source operand.
- *
- * There has been no explicit effort to account for the effects of a
- * cache, or to balance the use of long or short displacements for code or
- * data.
- *
- ***************************************************************************
- */
-
-/* Compiler and system dependent definitions: */
-
-#ifndef TIME
-#define TIMES
-#endif
- /* Use times(2) time function unless */
- /* explicitly defined otherwise */
-
-#ifdef TIMES
-#include <sys/types.h>
-#include <sys/times.h>
- /* for "times" */
-#endif
-
-#define Mic_secs_Per_Second 1000000
- /* Berkeley UNIX C returns process times in seconds/HZ */
-
-#ifdef NOSTRUCTASSIGN
-#define structassign(d, s) memcpy(&(d), &(s), sizeof(d))
-#else
-#define structassign(d, s) d = s
-#endif
-
-#ifdef NOENUM
-#define Ident_1 0
-#define Ident_2 1
-#define Ident_3 2
-#define Ident_4 3
-#define Ident_5 4
- typedef int Enumeration;
-#else
- typedef enum {Ident_1, Ident_2, Ident_3, Ident_4, Ident_5}
- Enumeration;
-#endif
- /* for boolean and enumeration types in Ada, Pascal */
-
-/* General definitions: */
-
-#include <stdio.h>
- /* for strcpy, strcmp */
-
-#define Null 0
- /* Value of a Null pointer */
-#define true 1
-#define false 0
-
-typedef int One_Thirty;
-typedef int One_Fifty;
-typedef char Capital_Letter;
-typedef int Boolean;
-typedef char Str_30 [31];
-typedef int Arr_1_Dim [50];
-typedef int Arr_2_Dim [50] [50];
-
-typedef struct record
- {
- struct record *Ptr_Comp;
- Enumeration Discr;
- union {
- struct {
- Enumeration Enum_Comp;
- int Int_Comp;
- char Str_Comp [31];
- } var_1;
- struct {
- Enumeration E_Comp_2;
- char Str_2_Comp [31];
- } var_2;
- struct {
- char Ch_1_Comp;
- char Ch_2_Comp;
- } var_3;
- } variant;
- } Rec_Type, *Rec_Pointer;
-
-
diff --git a/software/dhrystone/dhry_1.c b/software/dhrystone/dhry_1.c
deleted file mode 100644
index 7ab02a8..0000000
--- a/software/dhrystone/dhry_1.c
+++ /dev/null
@@ -1,385 +0,0 @@
-/*
- ****************************************************************************
- *
- * "DHRYSTONE" Benchmark Program
- * -----------------------------
- *
- * Version: C, Version 2.1
- *
- * File: dhry_1.c (part 2 of 3)
- *
- * Date: May 25, 1988
- *
- * Author: Reinhold P. Weicker
- *
- ****************************************************************************
- */
-
-#include "dhry.h"
-
-/* Global Variables: */
-
-Rec_Pointer Ptr_Glob,
- Next_Ptr_Glob;
-int Int_Glob;
-Boolean Bool_Glob;
-char Ch_1_Glob,
- Ch_2_Glob;
-int Arr_1_Glob [50];
-int Arr_2_Glob [50] [50];
-
-extern char *malloc ();
-Enumeration Func_1 ();
- /* forward declaration necessary since Enumeration may not simply be int */
-
-#ifndef REG
- Boolean Reg = false;
-#define REG
- /* REG becomes defined as empty */
- /* i.e. no register variables */
-#else
- Boolean Reg = true;
-#endif
-
-/* variables for time measurement: */
-
-#ifdef TIMES
-struct tms time_info;
-extern int times ();
- /* see library function "times" */
-#define Too_Small_Time 120
- /* Measurements should last at least about 2 seconds */
-#endif
-#ifdef TIME
-extern long time();
- /* see library function "time" */
-#define Too_Small_Time 2
- /* Measurements should last at least 2 seconds */
-#endif
-
-long Begin_Time,
- End_Time,
- User_Time;
-float Microseconds,
- Dhrystones_Per_Second;
-
-/* end of variables for time measurement */
-
-
-main ()
-/*****/
-
- /* main program, corresponds to procedures */
- /* Main and Proc_0 in the Ada version */
-{
- One_Fifty Int_1_Loc;
- REG One_Fifty Int_2_Loc;
- One_Fifty Int_3_Loc;
- REG char Ch_Index;
- Enumeration Enum_Loc;
- Str_30 Str_1_Loc;
- Str_30 Str_2_Loc;
- REG int Run_Index;
- REG int Number_Of_Runs;
-
- /* Initializations */
-
- Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type));
- Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type));
-
- Ptr_Glob->Ptr_Comp = Next_Ptr_Glob;
- Ptr_Glob->Discr = Ident_1;
- Ptr_Glob->variant.var_1.Enum_Comp = Ident_3;
- Ptr_Glob->variant.var_1.Int_Comp = 40;
- strcpy (Ptr_Glob->variant.var_1.Str_Comp,
- "DHRYSTONE PROGRAM, SOME STRING");
- strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING");
-
- Arr_2_Glob [8][7] = 10;
- /* Was missing in published program. Without this statement, */
- /* Arr_2_Glob [8][7] would have an undefined value. */
- /* Warning: With 16-Bit processors and Number_Of_Runs > 32000, */
- /* overflow may occur for this array element. */
-
- printf ("\n");
- printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n");
- printf ("\n");
- if (Reg)
- {
- printf ("Program compiled with 'register' attribute\n");
- printf ("\n");
- }
- else
- {
- printf ("Program compiled without 'register' attribute\n");
- printf ("\n");
- }
- printf ("Please give the number of runs through the benchmark: ");
- {
- int n;
- scanf ("%d", &n);
- Number_Of_Runs = n;
- }
- printf ("\n");
-
- printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs);
-
- /***************/
- /* Start timer */
- /***************/
-
-#ifdef TIMES
- times (&time_info);
- Begin_Time = (long) time_info.tms_utime;
-#endif
-#ifdef TIME
- Begin_Time = time ( (long *) 0);
-#endif
-
- for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index)
- {
-
- Proc_5();
- Proc_4();
- /* Ch_1_Glob == 'A', Ch_2_Glob == 'B', Bool_Glob == true */
- Int_1_Loc = 2;
- Int_2_Loc = 3;
- strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING");
- Enum_Loc = Ident_2;
- Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc);
- /* Bool_Glob == 1 */
- while (Int_1_Loc < Int_2_Loc) /* loop body executed once */
- {
- Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc;
- /* Int_3_Loc == 7 */
- Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc);
- /* Int_3_Loc == 7 */
- Int_1_Loc += 1;
- } /* while */
- /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */
- Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc);
- /* Int_Glob == 5 */
- Proc_1 (Ptr_Glob);
- for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index)
- /* loop body executed twice */
- {
- if (Enum_Loc == Func_1 (Ch_Index, 'C'))
- /* then, not executed */
- {
- Proc_6 (Ident_1, &Enum_Loc);
- strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING");
- Int_2_Loc = Run_Index;
- Int_Glob = Run_Index;
- }
- }
- /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */
- Int_2_Loc = Int_2_Loc * Int_1_Loc;
- Int_1_Loc = Int_2_Loc / Int_3_Loc;
- Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc;
- /* Int_1_Loc == 1, Int_2_Loc == 13, Int_3_Loc == 7 */
- Proc_2 (&Int_1_Loc);
- /* Int_1_Loc == 5 */
-
- } /* loop "for Run_Index" */
-
- /**************/
- /* Stop timer */
- /**************/
-
-#ifdef TIMES
- times (&time_info);
- End_Time = (long) time_info.tms_utime;
-#endif
-#ifdef TIME
- End_Time = time ( (long *) 0);
-#endif
-
- printf ("Execution ends\n");
- printf ("\n");
- printf ("Final values of the variables used in the benchmark:\n");
- printf ("\n");
- printf ("Int_Glob: %d\n", Int_Glob);
- printf (" should be: %d\n", 5);
- printf ("Bool_Glob: %d\n", Bool_Glob);
- printf (" should be: %d\n", 1);
- printf ("Ch_1_Glob: %c\n", Ch_1_Glob);
- printf (" should be: %c\n", 'A');
- printf ("Ch_2_Glob: %c\n", Ch_2_Glob);
- printf (" should be: %c\n", 'B');
- printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]);
- printf (" should be: %d\n", 7);
- printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]);
- printf (" should be: Number_Of_Runs + 10\n");
- printf ("Ptr_Glob->\n");
- printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp);
- printf (" should be: (implementation-dependent)\n");
- printf (" Discr: %d\n", Ptr_Glob->Discr);
- printf (" should be: %d\n", 0);
- printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp);
- printf (" should be: %d\n", 2);
- printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp);
- printf (" should be: %d\n", 17);
- printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp);
- printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n");
- printf ("Next_Ptr_Glob->\n");
- printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp);
- printf (" should be: (implementation-dependent), same as above\n");
- printf (" Discr: %d\n", Next_Ptr_Glob->Discr);
- printf (" should be: %d\n", 0);
- printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp);
- printf (" should be: %d\n", 1);
- printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp);
- printf (" should be: %d\n", 18);
- printf (" Str_Comp: %s\n",
- Next_Ptr_Glob->variant.var_1.Str_Comp);
- printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n");
- printf ("Int_1_Loc: %d\n", Int_1_Loc);
- printf (" should be: %d\n", 5);
- printf ("Int_2_Loc: %d\n", Int_2_Loc);
- printf (" should be: %d\n", 13);
- printf ("Int_3_Loc: %d\n", Int_3_Loc);
- printf (" should be: %d\n", 7);
- printf ("Enum_Loc: %d\n", Enum_Loc);
- printf (" should be: %d\n", 1);
- printf ("Str_1_Loc: %s\n", Str_1_Loc);
- printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n");
- printf ("Str_2_Loc: %s\n", Str_2_Loc);
- printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n");
- printf ("\n");
-
- User_Time = End_Time - Begin_Time;
-
- if (User_Time < Too_Small_Time)
- {
- printf ("Measured time too small to obtain meaningful results\n");
- printf ("Please increase number of runs\n");
- printf ("\n");
- }
- else
- {
-#ifdef TIME
- Microseconds = (float) User_Time * Mic_secs_Per_Second
- / (float) Number_Of_Runs;
- Dhrystones_Per_Second = (float) Number_Of_Runs / (float) User_Time;
-#else
- Microseconds = (float) User_Time * Mic_secs_Per_Second
- / ((float) HZ * ((float) Number_Of_Runs));
- Dhrystones_Per_Second = ((float) HZ * (float) Number_Of_Runs)
- / (float) User_Time;
-#endif
- printf ("Microseconds for one run through Dhrystone: ");
- printf ("%6.1f \n", Microseconds);
- printf ("Dhrystones per Second: ");
- printf ("%6.1f \n", Dhrystones_Per_Second);
- printf ("\n");
- }
-
-}
-
-
-Proc_1 (Ptr_Val_Par)
-/******************/
-
-REG Rec_Pointer Ptr_Val_Par;
- /* executed once */
-{
- REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp;
- /* == Ptr_Glob_Next */
- /* Local variable, initialized with Ptr_Val_Par->Ptr_Comp, */
- /* corresponds to "rename" in Ada, "with" in Pascal */
-
- structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob);
- Ptr_Val_Par->variant.var_1.Int_Comp = 5;
- Next_Record->variant.var_1.Int_Comp
- = Ptr_Val_Par->variant.var_1.Int_Comp;
- Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp;
- Proc_3 (&Next_Record->Ptr_Comp);
- /* Ptr_Val_Par->Ptr_Comp->Ptr_Comp
- == Ptr_Glob->Ptr_Comp */
- if (Next_Record->Discr == Ident_1)
- /* then, executed */
- {
- Next_Record->variant.var_1.Int_Comp = 6;
- Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp,
- &Next_Record->variant.var_1.Enum_Comp);
- Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp;
- Proc_7 (Next_Record->variant.var_1.Int_Comp, 10,
- &Next_Record->variant.var_1.Int_Comp);
- }
- else /* not executed */
- structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp);
-} /* Proc_1 */
-
-
-Proc_2 (Int_Par_Ref)
-/******************/
- /* executed once */
- /* *Int_Par_Ref == 1, becomes 4 */
-
-One_Fifty *Int_Par_Ref;
-{
- One_Fifty Int_Loc;
- Enumeration Enum_Loc;
-
- Int_Loc = *Int_Par_Ref + 10;
- do /* executed once */
- if (Ch_1_Glob == 'A')
- /* then, executed */
- {
- Int_Loc -= 1;
- *Int_Par_Ref = Int_Loc - Int_Glob;
- Enum_Loc = Ident_1;
- } /* if */
- while (Enum_Loc != Ident_1); /* true */
-} /* Proc_2 */
-
-
-Proc_3 (Ptr_Ref_Par)
-/******************/
- /* executed once */
- /* Ptr_Ref_Par becomes Ptr_Glob */
-
-Rec_Pointer *Ptr_Ref_Par;
-
-{
- if (Ptr_Glob != Null)
- /* then, executed */
- *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp;
- Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp);
-} /* Proc_3 */
-
-
-Proc_4 () /* without parameters */
-/*******/
- /* executed once */
-{
- Boolean Bool_Loc;
-
- Bool_Loc = Ch_1_Glob == 'A';
- Bool_Glob = Bool_Loc | Bool_Glob;
- Ch_2_Glob = 'B';
-} /* Proc_4 */
-
-
-Proc_5 () /* without parameters */
-/*******/
- /* executed once */
-{
- Ch_1_Glob = 'A';
- Bool_Glob = false;
-} /* Proc_5 */
-
-
- /* Procedure for the assignment of structures, */
- /* if the C compiler doesn't support this feature */
-#ifdef NOSTRUCTASSIGN
-memcpy (d, s, l)
-register char *d;
-register char *s;
-register int l;
-{
- while (l--) *d++ = *s++;
-}
-#endif
-
-
diff --git a/software/dhrystone/dhry_2.c b/software/dhrystone/dhry_2.c
deleted file mode 100644
index 63a3d3e..0000000
--- a/software/dhrystone/dhry_2.c
+++ /dev/null
@@ -1,192 +0,0 @@
-/*
- ****************************************************************************
- *
- * "DHRYSTONE" Benchmark Program
- * -----------------------------
- *
- * Version: C, Version 2.1
- *
- * File: dhry_2.c (part 3 of 3)
- *
- * Date: May 25, 1988
- *
- * Author: Reinhold P. Weicker
- *
- ****************************************************************************
- */
-
-#include "dhry.h"
-
-#ifndef REG
-#define REG
- /* REG becomes defined as empty */
- /* i.e. no register variables */
-#endif
-
-extern int Int_Glob;
-extern char Ch_1_Glob;
-
-
-Proc_6 (Enum_Val_Par, Enum_Ref_Par)
-/*********************************/
- /* executed once */
- /* Enum_Val_Par == Ident_3, Enum_Ref_Par becomes Ident_2 */
-
-Enumeration Enum_Val_Par;
-Enumeration *Enum_Ref_Par;
-{
- *Enum_Ref_Par = Enum_Val_Par;
- if (! Func_3 (Enum_Val_Par))
- /* then, not executed */
- *Enum_Ref_Par = Ident_4;
- switch (Enum_Val_Par)
- {
- case Ident_1:
- *Enum_Ref_Par = Ident_1;
- break;
- case Ident_2:
- if (Int_Glob > 100)
- /* then */
- *Enum_Ref_Par = Ident_1;
- else *Enum_Ref_Par = Ident_4;
- break;
- case Ident_3: /* executed */
- *Enum_Ref_Par = Ident_2;
- break;
- case Ident_4: break;
- case Ident_5:
- *Enum_Ref_Par = Ident_3;
- break;
- } /* switch */
-} /* Proc_6 */
-
-
-Proc_7 (Int_1_Par_Val, Int_2_Par_Val, Int_Par_Ref)
-/**********************************************/
- /* executed three times */
- /* first call: Int_1_Par_Val == 2, Int_2_Par_Val == 3, */
- /* Int_Par_Ref becomes 7 */
- /* second call: Int_1_Par_Val == 10, Int_2_Par_Val == 5, */
- /* Int_Par_Ref becomes 17 */
- /* third call: Int_1_Par_Val == 6, Int_2_Par_Val == 10, */
- /* Int_Par_Ref becomes 18 */
-One_Fifty Int_1_Par_Val;
-One_Fifty Int_2_Par_Val;
-One_Fifty *Int_Par_Ref;
-{
- One_Fifty Int_Loc;
-
- Int_Loc = Int_1_Par_Val + 2;
- *Int_Par_Ref = Int_2_Par_Val + Int_Loc;
-} /* Proc_7 */
-
-
-Proc_8 (Arr_1_Par_Ref, Arr_2_Par_Ref, Int_1_Par_Val, Int_2_Par_Val)
-/*********************************************************************/
- /* executed once */
- /* Int_Par_Val_1 == 3 */
- /* Int_Par_Val_2 == 7 */
-Arr_1_Dim Arr_1_Par_Ref;
-Arr_2_Dim Arr_2_Par_Ref;
-int Int_1_Par_Val;
-int Int_2_Par_Val;
-{
- REG One_Fifty Int_Index;
- REG One_Fifty Int_Loc;
-
- Int_Loc = Int_1_Par_Val + 5;
- Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val;
- Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc];
- Arr_1_Par_Ref [Int_Loc+30] = Int_Loc;
- for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index)
- Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc;
- Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1;
- Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc];
- Int_Glob = 5;
-} /* Proc_8 */
-
-
-Enumeration Func_1 (Ch_1_Par_Val, Ch_2_Par_Val)
-/*************************************************/
- /* executed three times */
- /* first call: Ch_1_Par_Val == 'H', Ch_2_Par_Val == 'R' */
- /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */
- /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */
-
-Capital_Letter Ch_1_Par_Val;
-Capital_Letter Ch_2_Par_Val;
-{
- Capital_Letter Ch_1_Loc;
- Capital_Letter Ch_2_Loc;
-
- Ch_1_Loc = Ch_1_Par_Val;
- Ch_2_Loc = Ch_1_Loc;
- if (Ch_2_Loc != Ch_2_Par_Val)
- /* then, executed */
- return (Ident_1);
- else /* not executed */
- {
- Ch_1_Glob = Ch_1_Loc;
- return (Ident_2);
- }
-} /* Func_1 */
-
-
-Boolean Func_2 (Str_1_Par_Ref, Str_2_Par_Ref)
-/*************************************************/
- /* executed once */
- /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */
- /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */
-
-Str_30 Str_1_Par_Ref;
-Str_30 Str_2_Par_Ref;
-{
- REG One_Thirty Int_Loc;
- Capital_Letter Ch_Loc;
-
- Int_Loc = 2;
- while (Int_Loc <= 2) /* loop body executed once */
- if (Func_1 (Str_1_Par_Ref[Int_Loc],
- Str_2_Par_Ref[Int_Loc+1]) == Ident_1)
- /* then, executed */
- {
- Ch_Loc = 'A';
- Int_Loc += 1;
- } /* if, while */
- if (Ch_Loc >= 'W' && Ch_Loc < 'Z')
- /* then, not executed */
- Int_Loc = 7;
- if (Ch_Loc == 'R')
- /* then, not executed */
- return (true);
- else /* executed */
- {
- if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0)
- /* then, not executed */
- {
- Int_Loc += 7;
- Int_Glob = Int_Loc;
- return (true);
- }
- else /* executed */
- return (false);
- } /* if Ch_Loc */
-} /* Func_2 */
-
-
-Boolean Func_3 (Enum_Par_Val)
-/***************************/
- /* executed once */
- /* Enum_Par_Val == Ident_3 */
-Enumeration Enum_Par_Val;
-{
- Enumeration Enum_Loc;
-
- Enum_Loc = Enum_Par_Val;
- if (Enum_Loc == Ident_3)
- /* then, executed */
- return (true);
- else /* not executed */
- return (false);
-} /* Func_3 */
-
diff --git a/software/dhrystone/dhry_printf.c b/software/dhrystone/dhry_printf.c
deleted file mode 100644
index 025d231..0000000
--- a/software/dhrystone/dhry_printf.c
+++ /dev/null
@@ -1,271 +0,0 @@
-/* The functions in this file are only meant to support Dhrystone on an
- * embedded RV32 system and are obviously incorrect in general. */
-
-#include <stdarg.h>
-#include <stddef.h>
-#include <stdio.h>
-#include <stdint.h>
-#include <string.h>
-#include <unistd.h>
-
-#undef putchar
-int putchar(int ch)
-{
- return write(1, &ch, 1) == 1 ? ch : -1;
-}
-
-static void sprintf_putch(int ch, void** data)
-{
- char** pstr = (char**)data;
- **pstr = ch;
- (*pstr)++;
-}
-
-static unsigned long getuint(va_list *ap, int lflag)
-{
- if (lflag)
- return va_arg(*ap, unsigned long);
- else
- return va_arg(*ap, unsigned int);
-}
-
-static long getint(va_list *ap, int lflag)
-{
- if (lflag)
- return va_arg(*ap, long);
- else
- return va_arg(*ap, int);
-}
-
-static inline void printnum(void (*putch)(int, void**), void **putdat,
- unsigned long num, unsigned base, int width, int padc)
-{
- unsigned digs[sizeof(num)*8];
- int pos = 0;
-
- while (1)
- {
- digs[pos++] = num % base;
- if (num < base)
- break;
- num /= base;
- }
-
- while (width-- > pos)
- putch(padc, putdat);
-
- while (pos-- > 0)
- putch(digs[pos] + (digs[pos] >= 10 ? 'a' - 10 : '0'), putdat);
-}
-
-static inline void print_double(void (*putch)(int, void**), void **putdat,
- double num, int width, int prec)
-{
- union {
- double d;
- uint64_t u;
- } u;
- u.d = num;
-
- if (u.u & (1ULL << 63)) {
- putch('-', putdat);
- u.u &= ~(1ULL << 63);
- }
-
- for (int i = 0; i < prec; i++)
- u.d *= 10;
-
- char buf[32], *pbuf = buf;
- printnum(sprintf_putch, (void**)&pbuf, (unsigned long)u.d, 10, 0, 0);
- if (prec > 0) {
- for (int i = 0; i < prec; i++) {
- pbuf[-i] = pbuf[-i-1];
- }
- pbuf[-prec] = '.';
- pbuf++;
- }
-
- for (char* p = buf; p < pbuf; p++)
- putch(*p, putdat);
-}
-
-static void vprintfmt(void (*putch)(int, void**), void **putdat, const char *fmt, va_list ap)
-{
- register const char* p;
- const char* last_fmt;
- register int ch, err;
- unsigned long num;
- int base, lflag, width, precision, altflag;
- char padc;
-
- while (1) {
- while ((ch = *(unsigned char *) fmt) != '%') {
- if (ch == '\0')
- return;
- fmt++;
- putch(ch, putdat);
- }
- fmt++;
-
- // Process a %-escape sequence
- last_fmt = fmt;
- padc = ' ';
- width = -1;
- precision = -1;
- lflag = 0;
- altflag = 0;
- reswitch:
- switch (ch = *(unsigned char *) fmt++) {
-
- // flag to pad on the right
- case '-':
- padc = '-';
- goto reswitch;
-
- // flag to pad with 0's instead of spaces
- case '0':
- padc = '0';
- goto reswitch;
-
- // width field
- case '1':
- case '2':
- case '3':
- case '4':
- case '5':
- case '6':
- case '7':
- case '8':
- case '9':
- for (precision = 0; ; ++fmt) {
- precision = precision * 10 + ch - '0';
- ch = *fmt;
- if (ch < '0' || ch > '9')
- break;
- }
- goto process_precision;
-
- case '*':
- precision = va_arg(ap, int);
- goto process_precision;
-
- case '.':
- if (width < 0)
- width = 0;
- goto reswitch;
-
- case '#':
- altflag = 1;
- goto reswitch;
-
- process_precision:
- if (width < 0)
- width = precision, precision = -1;
- goto reswitch;
-
- // long flag
- case 'l':
- if (lflag)
- goto bad;
- goto reswitch;
-
- // character
- case 'c':
- putch(va_arg(ap, int), putdat);
- break;
-
- // double
- case 'f':
- print_double(putch, putdat, va_arg(ap, double), width, precision);
- break;
-
- // string
- case 's':
- if ((p = va_arg(ap, char *)) == NULL)
- p = "(null)";
- if (width > 0 && padc != '-')
- for (width -= strnlen(p, precision); width > 0; width--)
- putch(padc, putdat);
- for (; (ch = *p) != '\0' && (precision < 0 || --precision >= 0); width--) {
- putch(ch, putdat);
- p++;
- }
- for (; width > 0; width--)
- putch(' ', putdat);
- break;
-
- // (signed) decimal
- case 'd':
- num = getint(&ap, lflag);
- if ((long) num < 0) {
- putch('-', putdat);
- num = -(long) num;
- }
- base = 10;
- goto signed_number;
-
- // unsigned decimal
- case 'u':
- base = 10;
- goto unsigned_number;
-
- // (unsigned) octal
- case 'o':
- // should do something with padding so it's always 3 octits
- base = 8;
- goto unsigned_number;
-
- // pointer
- case 'p':
- lflag = 1;
- putch('0', putdat);
- putch('x', putdat);
- /* fall through to 'x' */
-
- // (unsigned) hexadecimal
- case 'x':
- base = 16;
- unsigned_number:
- num = getuint(&ap, lflag);
- signed_number:
- printnum(putch, putdat, num, base, width, padc);
- break;
-
- // escaped '%' character
- case '%':
- putch(ch, putdat);
- break;
-
- // unrecognized escape sequence - just print it literally
- default:
- bad:
- putch('%', putdat);
- fmt = last_fmt;
- break;
- }
- }
-}
-
-int __wrap_printf(const char* fmt, ...)
-{
- va_list ap;
- va_start(ap, fmt);
-
- vprintfmt((void*)putchar, 0, fmt, ap);
-
- va_end(ap);
- return 0; // incorrect return value, but who cares, anyway?
-}
-
-int __wrap_sprintf(char* str, const char* fmt, ...)
-{
- va_list ap;
- char* str0 = str;
- va_start(ap, fmt);
-
- vprintfmt(sprintf_putch, (void**)&str, fmt, ap);
- *str = 0;
-
- va_end(ap);
- return str - str0;
-}
diff --git a/software/dhrystone/dhry_stubs.c b/software/dhrystone/dhry_stubs.c
deleted file mode 100644
index 0616f86..0000000
--- a/software/dhrystone/dhry_stubs.c
+++ /dev/null
@@ -1,15 +0,0 @@
-#include "platform.h"
-
-/* The functions in this file are only meant to support Dhrystone on an
- * embedded RV32 system and are obviously incorrect in general. */
-
-long time(void)
-{
- return get_timer_value() / get_timer_freq();
-}
-
-// set the number of dhrystone iterations
-void __wrap_scanf(const char* fmt, int* n)
-{
- *n = 100000000;
-}
diff --git a/software/double_tap_dontboot/.unsupported-boards b/software/double_tap_dontboot/.unsupported-boards
deleted file mode 100644
index 4e93148..0000000
--- a/software/double_tap_dontboot/.unsupported-boards
+++ /dev/null
@@ -1,3 +0,0 @@
-coreplexip-e31-arty
-coreplexip-e51-arty
-
diff --git a/software/double_tap_dontboot/Makefile b/software/double_tap_dontboot/Makefile
deleted file mode 100644
index ae89c51..0000000
--- a/software/double_tap_dontboot/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = double_tap_dontboot
-C_SRCS += double_tap_dontboot.c
-CFLAGS += -O2 -fno-builtin-printf -DNO_INIT
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/double_tap_dontboot/double_tap_dontboot.c b/software/double_tap_dontboot/double_tap_dontboot.c
deleted file mode 100644
index 53c2c64..0000000
--- a/software/double_tap_dontboot/double_tap_dontboot.c
+++ /dev/null
@@ -1,153 +0,0 @@
-// See LICENSE for license details.
-
-// This is the 'bootloader' which ships on HiFive1 boards,
-// at SPI Flash location 0x20000000.
-// HiFive1 boards have code burned into their OTP which ends with
-// a jump to 0x20000000. The Freedom E SDK and the Arduino IDE
-// linker scripts cause user programs to be compiled and
-// flashed at 0x20400000. Therefore, this code ultimately jumps
-// to 0x20400000, while attempting to leave the machine state as close
-// to its reset state as possible.
-//
-// When compiled in the freedom-e-sdk environment, this code will
-// be compiled as a user program at 0x20400000, so will continuously jump to itself.
-// It is provided in the freedom-e-sdk mostly for reference purposes, so
-// users know what is running on their board. Replacing the bootloader
-// at 0x20000000 is not currently supported by the SDK.
-//
-// These are the instructions for the user of this program, from the
-// HiFive1 Getting Started Guide:
-//
-// This program is designed to allow quick boot, but
-// also a "safe" reboot option if a "bad" program
-// is flashed into the HiFive1's SPI Flash. A "bad" program
-// is one which makes it impossible for the programmer
-// to communicate with the HiFive1. For example, a program which
-// disables FE310's active clock, or which puts the FE310 to sleep
-// with no way of waking it up. Bad programs can always be restarted using
-// the RESET button, and using the "safe" bootloader can be halted
-// before they perform any unsafe behavior.
-//
-// To activate "normal" boot mode, press the RESET button on
-// the HiFive1. After approximately 1s, the green LED will flash
-// for 1/2 second, then the user program will execute.
-//
-// To activate "safe" boot mode, press the RESET button. When
-// the green LED flashes, immediately press the RESET button again.
-// After 1 second, the red LED will blink. The user program will not
-// execute, and the programmer can connect. To exit "safe" boot mode,
-// press the RESET button a final time.
-
-#include <stdint.h>
-#include "platform.h"
-#include "encoding.h"
-
-#ifndef _SIFIVE_HIFIVE1_H
-#error "double_tap_dontboot is designed to run on HiFive1 and/or E300 Arty Dev Kit."
-#endif
-
-
-#define BACKUP15_MAGIC 0xD027B007
-
-#define FINAL_ADDRESS 0x20400000
-
-#define RED_LED 22
-#define GREEN_LED 19
-
-int main(void)
-{
- uint64_t now;
- uint64_t then;
-
- // Restore the default mtvec (which may have been set by initialization
- // code, depending on the environment in which this C code is compiled).
- // By default, this would cause an infinite loop upon exception, which is
- // also "safe" behavior and the debugger can connect.
-
- write_csr(mtvec, 0x0);
-
- // How did we get here? We only want to execute this code
- // on resets (vs waking up from sleep).
- if ((AON_REG(AON_PMUCAUSE) & AON_PMUCAUSE_WAKEUPCAUSE) ==
- AON_WAKEUPCAUSE_RESET) {
-
- if (AON_REG(AON_BACKUP15) == BACKUP15_MAGIC) {
- // Reset was "double-tapped".
-
- // Re-arm the reset double-tap
- AON_REG(AON_BACKUP15) = 0;
-
- // PWM Red LED
-
- GPIO_REG(GPIO_IOF_EN) |= (1 << RED_LED);
- GPIO_REG(GPIO_OUTPUT_XOR) &= ~(1 << RED_LED);
- GPIO_REG(GPIO_IOF_SEL) |= (1 << RED_LED);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(1 << GREEN_LED);
- GPIO_REG(GPIO_OUTPUT_XOR) &= ~(1 << GREEN_LED);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(1 << GREEN_LED);
-
- PWM1_REG(PWM_CFG) = 0;
- PWM1_REG(PWM_COUNT) = 0;
- PWM1_REG(PWM_CMP0) = 0xFF;
- PWM1_REG(PWM_CMP3) = 0xFF;
- PWM1_REG(PWM_CFG) = PWM_CFG_ENALWAYS;
-
- int pwm_val = 255;
-
- // Wait for debugger or another RESET press.
- while(1){
-
- // Make the PWM a fade. This is preferable to just a PWM blink
- // because it makes it clear that the processor is actually
- // running this code, not just the PWM hardware.
-
- now = *((volatile uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME));
- then = now + 32768/500;
- while (*((volatile uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME)) < then) {
- asm volatile ("");
- }
- pwm_val = (pwm_val == 0) ? 255 : (pwm_val -1);
- PWM1_REG(PWM_CMP3) = pwm_val << 4;
- }
-
- } // If Magic
-
- // Turn on Green LED to indicate time-to-double-tap
- // LEDs are Active-Low
- GPIO_REG(GPIO_OUTPUT_VAL) |= (1 << GREEN_LED);
- GPIO_REG(GPIO_OUTPUT_XOR) |= (1 << GREEN_LED);
- GPIO_REG(GPIO_OUTPUT_EN) |= (1 << GREEN_LED);
-
- // Re-arm the reset double-tap
- uint32_t save = AON_REG(AON_BACKUP15);
-
- AON_REG(AON_BACKUP15) = BACKUP15_MAGIC;
-
- // Wait 500 ms. If reset is tapped at this point,
- // we will execute the "magic" loop above.
- now = *((volatile uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME));
- then = now + 32768/2;
- while (*((volatile uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME)) < then) {
- asm volatile ("");
- }
-
- // Re-arm the reset double-tap
- AON_REG(AON_BACKUP15) = save;
-
- }
-
- // Restore the GPIO Registers to their default
- GPIO_REG(GPIO_OUTPUT_VAL) = 0;
- GPIO_REG(GPIO_OUTPUT_XOR) = 0;
- GPIO_REG(GPIO_OUTPUT_EN) = 0;
-
- // Jump to "user code" in SPI Flash.
- void (*pgm_start)(void) = (void*) FINAL_ADDRESS;
- pgm_start();
-
- // This value is meaningless, but
- // since this code should never be reached,
- // make it non-zero.
- return (1234567);
-}
diff --git a/software/global_interrupts/.unsupported-boards b/software/global_interrupts/.unsupported-boards
deleted file mode 100644
index 33cea3a..0000000
--- a/software/global_interrupts/.unsupported-boards
+++ /dev/null
@@ -1,2 +0,0 @@
-freedom-e300-arty
-freedom-e300-hifive1
diff --git a/software/global_interrupts/Makefile b/software/global_interrupts/Makefile
deleted file mode 100644
index 6a09f97..0000000
--- a/software/global_interrupts/Makefile
+++ /dev/null
@@ -1,9 +0,0 @@
-TARGET = global_interrupts
-CFLAGS += -O2 -fno-builtin-printf -DUSE_LOCAL_ISR
-
-BSP_BASE = ../../bsp
-
-C_SRCS += global_interrupts.c
-C_SRCS += $(BSP_BASE)/drivers/plic/plic_driver.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/global_interrupts/global_interrupts.c b/software/global_interrupts/global_interrupts.c
deleted file mode 100644
index 4d3a554..0000000
--- a/software/global_interrupts/global_interrupts.c
+++ /dev/null
@@ -1,250 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "plic/plic_driver.h"
-#include "encoding.h"
-#include <unistd.h>
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#error 'global_interrupts' demo only supported for Coreplex IP Eval Kits
-#endif
-
-// Global Instance data for the PLIC
-// for use by the PLIC Driver.
-plic_instance_t g_plic;
-
-// Flag for state
-int g_switch1Wins;
-
-// Debounce counter (PWM can't go slow enough)
-int g_debounce;
-
-void debounce();
-
-// Structures for registering different interrupt handlers
-// for different parts of the application.
-typedef void (*interrupt_function_ptr_t) (void);
-
-// See bsp/env/<BOARD>/init.c for how this
-// interrupt vector is used.
-interrupt_function_ptr_t localISR[32];
-
-interrupt_function_ptr_t g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS];
-
-void set_timer() {
-
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- uint64_t now = *mtime;
- uint64_t then = now + 10*RTC_FREQ;
- *mtimecmp = then;
-
-}
-
-/*Entry Point for Machine Timer Interrupt Handler*/
-void mti_isr(){
-
- if (g_switch1Wins) {
- printf("#### Giving Switch 1 Priority for 10 seconds ####\n");
- // All other things being equal, lower IDs have
- // higher priority. We have already set
- // Switch 1 to priority 2
- // in the setup, so by giving these equal priority Switch 1 will win.
- PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 2);
- } else {
- printf("**** Giving Switch 2 Priority for 10 seconds ****\n");
- // By setting Switch 2 a higher integer priority, it will win over switch 1.
- PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 3);
- }
- g_switch1Wins ^= 0x1;
-
- set_timer();
-
-}
-
-/*Entry Point for PLIC Interrupt Handler*/
-void mei_isr(){
- plic_source int_num = PLIC_claim_interrupt(&g_plic);
- if ((int_num >=1 ) && (int_num < PLIC_NUM_INTERRUPTS)) {
- g_ext_interrupt_handlers[int_num]();
- }
- else {
- exit(1 + (uintptr_t) int_num);
- }
- PLIC_complete_interrupt(&g_plic, int_num);
-}
-
-const char * instructions_msg = " \
-\n\
- SIFIVE, INC.\n\
-E31/E51 Coreplex IP Eval Kit 'global_interrupts' demo.\n\
-\n\
-Switches 1 and 2 are enabled as External Global Interrupts \n\
-(they don't go through the PLIC). You an observe priorities.\n\
-Priorities invert every few seconds, which is driven by the \n\
-PWM0 global interrupt. \n\
-\n";
-
-void print_instructions() {
-
- write (STDOUT_FILENO, instructions_msg, strlen(instructions_msg));
-
-}
-
-void invalid_global_isr() {
- printf("Unexpected global interrupt!\n");
-}
-
-void invalid_local_isr() {
- printf ("Unexpected local interrupt!\n");
-}
-
-void switch_1_handler() {
-
- printf("Switch 1 is on! Even if Switch 2 is on, Switch 1 must have higher priority right now.\n");
-
- // Set Green LED
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ;
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1<< RED_LED_OFFSET));
-
- debounce();
-
-}
-
-void switch_2_handler() {
- printf("Switch 2 is on! Even if Switch 1 is on, Switch 2 must have higher priority right now.\n");
-
- // Set RED LED
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << GREEN_LED_OFFSET) ;
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1<< RED_LED_OFFSET);
-
- debounce();
-}
-
-// We use PWM 0 as a
-// timer interrupt for debouncing.
-
-void pwm_0_handler() {
-
-
- if (g_debounce == 0) {
- printf(" Done debouncing.\n");
-
- //Lower the threshold s.t. the switches can hit.
- PLIC_set_threshold(&g_plic, 1);
-
- // Clear the PWM interrupt
- PWM0_REG(PWM_CFG) = 0;
-
- } else {
- // Keep waiting
- g_debounce --;
- // This clears out the interrupt and re-arms the timer.
- PWM0_REG(PWM_CFG) = ((PWM_CFG_ONESHOT) | (PWM_CFG_ZEROCMP)| 0x7 | (PWM_CFG_STICKY));
-
- }
-
-}
-
-void debounce(int local_interrupt_num) {
-
- printf(" Starting a debounce.\n");
-
- g_debounce = 600;
-
- // This clears out the interrupt and re-arms the timer.
- PWM0_REG(PWM_CFG) = ((PWM_CFG_ONESHOT) | (PWM_CFG_ZEROCMP)| 0x7 | (PWM_CFG_STICKY));
-
- // Set the threshold high enough that the
- // switches won't cause the interrupt to fire,
- // only the PWM or timer interrupts.
- PLIC_set_threshold(&g_plic, 4);
-
-}
-
-int main(int argc, char **argv)
-{
-
- for (int gisr = 0; gisr < PLIC_NUM_INTERRUPTS; gisr++){
- g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS] = invalid_global_isr;
- }
- g_ext_interrupt_handlers[PWM0_INT_BASE + 0] = pwm_0_handler;
- g_ext_interrupt_handlers[INT_EXT_DEVICE_SW_1] = switch_1_handler;
- g_ext_interrupt_handlers[INT_EXT_DEVICE_SW_2] = switch_2_handler;
-
- for (int lisr = 0; lisr < 32; lisr++){
- localISR[lisr] = invalid_local_isr;
- }
-
- localISR[IRQ_M_TIMER] = mti_isr;
- localISR[IRQ_M_EXT] = mei_isr;
-
- print_instructions();
-
- // Set up RGB LEDs for a visual.
-
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET));
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ;
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1<< RED_LED_OFFSET);
-
- /**************************************************************************
- * Set up the PLIC
- *
- *************************************************************************/
- PLIC_init(&g_plic,
- PLIC_CTRL_ADDR,
- PLIC_NUM_INTERRUPTS,
- PLIC_NUM_PRIORITIES);
-
- /**************************************************************************
- * Give Switch 1 and Switch 2 Equal priority of 2.
- *
- *************************************************************************/
-
- PLIC_enable_interrupt (&g_plic, PWM0_INT_BASE + 0);
- PLIC_enable_interrupt (&g_plic, INT_EXT_DEVICE_SW_1);
- PLIC_enable_interrupt (&g_plic, INT_EXT_DEVICE_SW_2);
-
- // PWM always beats the switches, because we use it
- // as a debouncer, and we lower the threshold
- // to do so.
-
- PWM0_REG(PWM_CFG) = 0;
-
- // Make sure people aren't blinded by LEDs connected here.
- PWM0_REG(PWM_CMP0) = 0xFE;
- PWM0_REG(PWM_CMP1) = 0xFF;
- PWM0_REG(PWM_CMP2) = 0xFF;
- PWM0_REG(PWM_CMP3) = 0xFF;
- PLIC_set_priority(&g_plic, PWM0_INT_BASE + 0 , 5);
-
- // Start the switches out at the same priority. Switch1
- // would win.
- PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_1, 2);
- PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 2);
-
- // Set up machine timer interrupt. Every few seconds it
- // will invert the switch priorities.
- set_timer();
-
- // Enable timer interrupts.
- set_csr(mie, MIP_MTIP);
-
- // Enable Global (PLIC) interrupts.
- set_csr(mie, MIP_MEIP);
-
- g_switch1Wins = 1;
-
- // Enable all interrupts
- set_csr(mstatus, MSTATUS_MIE);
-
- while(1){
- asm volatile ("wfi");
- }
-
- return 0;
-
-}
diff --git a/software/i2c_demo/.gitignore b/software/i2c_demo/.gitignore
deleted file mode 100644
index 7a8011b..0000000
--- a/software/i2c_demo/.gitignore
+++ /dev/null
@@ -1 +0,0 @@
-i2c_demo
diff --git a/software/i2c_demo/Makefile b/software/i2c_demo/Makefile
deleted file mode 100644
index ef29ef2..0000000
--- a/software/i2c_demo/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = i2c_demo
-C_SRCS += i2c_demo.c
-CFLAGS += -O2 -fno-builtin-printf
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/i2c_demo/i2c_demo.c b/software/i2c_demo/i2c_demo.c
deleted file mode 100644
index 4011c09..0000000
--- a/software/i2c_demo/i2c_demo.c
+++ /dev/null
@@ -1,318 +0,0 @@
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include <unistd.h>
-#include <math.h>
-#include "stdatomic.h"
-
-#define MPU6050_ADDR 0x68
-
-#define MPU6050_RA_ACCEL_XOUT_H 0x3B
-#define MPU6050_RA_ACCEL_XOUT_L 0x3C
-#define MPU6050_RA_ACCEL_YOUT_H 0x3D
-#define MPU6050_RA_ACCEL_YOUT_L 0x3E
-#define MPU6050_RA_ACCEL_ZOUT_H 0x3F
-#define MPU6050_RA_ACCEL_ZOUT_L 0x40
-#define MPU6050_RA_TEMP_OUT_H 0x41
-#define MPU6050_RA_TEMP_OUT_L 0x42
-#define MPU6050_RA_GYRO_XOUT_H 0x43
-#define MPU6050_RA_GYRO_XOUT_L 0x44
-#define MPU6050_RA_GYRO_YOUT_H 0x45
-#define MPU6050_RA_GYRO_YOUT_L 0x46
-#define MPU6050_RA_GYRO_ZOUT_H 0x47
-#define MPU6050_RA_GYRO_ZOUT_L 0x48
-#define MPU6050_RA_PWR_MGMT_1 0x6B
-#define MPU6050_RA_WHO_AM_I 0x75
-
-#define SDA PIN_8_OFFSET
-#define SCL PIN_9_OFFSET
-
-#define LONG 25 // Equals ~400KHz
-#define SHORT 5
-
-//busy wait for the specified time
-void wait_ms(uint64_t ms) {
- static const uint64_t ms_tick = RTC_FREQ/1000;
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- uint64_t then = (ms_tick * ms) + *mtime;
- while(*mtime<then);
-}
-
-inline void delay_number_of_nop(uint32_t count)
-{
- for(volatile uint32_t j = 0; j < count; j++)
- {
- asm("nop");
- }
-}
-
-_Bool i2c_busy(void)
-{
- GPIO_REG(GPIO_INPUT_EN) |= ((0x1 << SCL) | (0x1 << SDA));
- GPIO_REG(GPIO_OUTPUT_EN) &= ~((0x1 << SCL) | (0x1 << SDA));
- return (GPIO_REG(GPIO_INPUT_VAL) & ((0x1 << SCL) | (0x1 << SDA))) != ((0x1 << SCL) | (0x1 << SDA));
-}
-
-void i2c_start(void)
-{
- GPIO_REG(GPIO_INPUT_EN) &= ~((0x1 << SDA) | (0x1 << SCL));
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SDA);
- delay_number_of_nop(SHORT);
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SCL);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SCL);
-}
-
-void i2c_repeated_start(void)
-{
- delay_number_of_nop(SHORT);
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SCL);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SCL);
- delay_number_of_nop(SHORT);
- i2c_start();
-}
-
-
-void i2c_stop(void)
-{
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SCL);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(0x1 << SCL);
- delay_number_of_nop(SHORT);
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(0x1 << SDA);
-}
-
-void i2c_init(void)
-{
- //GPIO_REG(GPIO_IOF_EN) &= ((0x1 << SCL) | (0x1 << SDA));
- GPIO_REG(GPIO_OUTPUT_EN) &= ~((0x1 << SCL) | (0x1 << SDA));
- GPIO_REG(GPIO_PULLUP_EN) |= ((0x1 << SDA) | (0x1 << SCL));
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SDA) | (0x1 << SCL);
-}
-
-void i2c_send_bytes_rs(uint8_t* data, uint8_t size, _Bool rs, uint8_t* data_r, uint8_t size_r)
-{
- uint8_t address_r = data[0] | 0x01;
-
- for(uint8_t ii=0; ii<size; ii++)
- {
- GPIO_REG(GPIO_INPUT_EN) &= ~(0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SDA);
- for(uint8_t i=0; i<9; i++)
- {
- delay_number_of_nop(SHORT);
-
- if(i != 8)
- {
- if(data[ii] & 0x80)
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SDA);
- else
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- }
- else
- {
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(0x1 << SDA);
- if((GPIO_REG(GPIO_INPUT_VAL) & (0x1 << SDA)) != 0x0)
- {
- printf("NO ACK\n");
- }
-
- GPIO_REG(GPIO_INPUT_EN) &= ~(0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SDA);
- if(rs == 0)
- {
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- }
- else
- {
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SDA);
- }
-
- }
- delay_number_of_nop(LONG-SHORT);
-
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SCL);
-
- delay_number_of_nop(LONG);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SCL);
-
- data[ii] <<= 1;
- }
- }
-
- if(rs == 0)
- return;
-
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SCL);
-
- delay_number_of_nop(SHORT);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
-
- delay_number_of_nop(SHORT);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SCL);
-
- for(uint8_t i=0; i<9; i++)
- {
- delay_number_of_nop(SHORT);
- if(i != 8)
- {
- if(address_r & 0x80)
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SDA);
- else
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- }
- else
- {
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(0x1 << SDA);
- if((GPIO_REG(GPIO_INPUT_VAL) & (0x1 << SDA)) != 0x0)
- {
- printf("NO ACK\n");
- }
- }
- delay_number_of_nop(LONG-SHORT);
-
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SCL);
-
- delay_number_of_nop(LONG);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SCL);
-
- address_r <<= 1;
- }
-
- // Receive Data
- for(uint8_t ii=0; ii<size_r; ii++)
- {
- for(uint8_t i=0; i<9; i++)
- {
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << SCL);
-
- if(i!=8)
- data_r[ii] <<= 1;
-
- delay_number_of_nop(SHORT);
-
- if(i!=8)
- {
- if((GPIO_REG(GPIO_INPUT_VAL) & (0x1 << SDA)) != 0x0)
- {
- data_r[ii] |= 0x1;
- }
- }
- else if(ii == (size_r-1))
- {
- GPIO_REG(GPIO_INPUT_EN) &= ~(0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- } else {
-
- }
-
- delay_number_of_nop(LONG-SHORT);
-
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SCL);
-
- if((i==7) && (ii != (size_r-1))){
- GPIO_REG(GPIO_INPUT_EN) &= ~(0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << SDA);
- }
- delay_number_of_nop(LONG);
-
- }
-
- if(ii != (size_r-1)){
- GPIO_REG(GPIO_INPUT_EN) |= (0x1 << SDA);
- GPIO_REG(GPIO_OUTPUT_EN) &= ~(0x1 << SDA);
- delay_number_of_nop(SHORT);
- }
- }
-}
-
-void write_byte(uint8_t address, uint8_t reg_addr, uint8_t val)
-{
- uint8_t temp[3];
- uint8_t data;
- temp[0]=address<<1;
- temp[1]=reg_addr;
- temp[2]=val;
- while(i2c_busy());
- i2c_start();
- i2c_send_bytes_rs(temp, sizeof(temp), 0, &data, 1);
- i2c_stop();
-}
-
-uint8_t read_byte(uint8_t address, uint8_t reg_addr)
-{
- uint8_t temp[2];
- uint8_t data;
- temp[0]=address<<1;
- temp[1]=reg_addr;
- while(i2c_busy());
- i2c_start();
- i2c_send_bytes_rs(temp, sizeof(temp), 1, &data, 1);
- i2c_stop();
- return data;
-}
-
-void read_bytes(uint8_t address, uint8_t reg_addr, uint8_t* data, uint8_t size)
-{
- uint8_t temp[2];
- temp[0]=address<<1;
- temp[1]=reg_addr;
- while(i2c_busy());
- i2c_start();
- i2c_send_bytes_rs(temp, sizeof(temp), 1, data, size);
- i2c_stop();
-}
-
-int main(int argc, char **argv)
-{
- uint8_t data;
- uint8_t accel[6];
-
- printf("BIT-BANG I2C DEMO with MPU-6050 IMU\n");
-
- i2c_init();
-
- printf("Power Management 1: 0x%02X\n", read_byte(MPU6050_ADDR, MPU6050_RA_PWR_MGMT_1));
- write_byte(MPU6050_ADDR, MPU6050_RA_PWR_MGMT_1, 0x80);
-
- wait_ms(10);
-
- printf("Power Management 1: 0x%02X\n", read_byte(MPU6050_ADDR, MPU6050_RA_PWR_MGMT_1));
- write_byte(MPU6050_ADDR, MPU6050_RA_PWR_MGMT_1, 0x00);
-
- printf("CHIP_ID: 0x%02X\n", read_byte(MPU6050_ADDR, MPU6050_RA_WHO_AM_I));
- printf("DONE\n");
-
- while(1)
- {
- //for(uint8_t i=0; i<6; i++){
- // accel[i]=read_byte(MPU6050_ADDR, 0x3B+i);
- //}
- read_bytes(MPU6050_ADDR, 0x3B, accel, 6);
- int16_t x_accel = (accel[0]<<8)+accel[1];
- int16_t y_accel = (accel[2]<<8)+accel[3];
- int16_t z_accel = (accel[4]<<8)+accel[5];
-
- float z_g = z_accel;
- z_g = z_g/16384.0;
- int32_t z_g_int = z_g*100;
- z_g_int = abs(z_g_int);
- printf("Gravity in Z %d.%d\n", z_g_int/100, z_g_int%100);
-
- //printf("X: %06d, Y: %06d, Z: %06d\n", x_accel, y_accel, z_accel);
-
- wait_ms(100);
- }
-
- return 0;
-}
diff --git a/software/led_fade/.unsupported-boards b/software/led_fade/.unsupported-boards
deleted file mode 100644
index f9e9897..0000000
--- a/software/led_fade/.unsupported-boards
+++ /dev/null
@@ -1,4 +0,0 @@
-freedom-e300-arty
-coreplexip-e31-arty
-coreplexip-e51-arty
-freedom-e300-arty
diff --git a/software/led_fade/Makefile b/software/led_fade/Makefile
deleted file mode 100644
index bbdba66..0000000
--- a/software/led_fade/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = led_fade
-C_SRCS += led_fade.c
-CFLAGS += -O2 -fno-builtin-printf -DNO_INIT
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/led_fade/led_fade.c b/software/led_fade/led_fade.c
deleted file mode 100644
index 9b50eda..0000000
--- a/software/led_fade/led_fade.c
+++ /dev/null
@@ -1,167 +0,0 @@
-// See LICENSE for license details.
-
-// This is the program which ships on the HiFive1
-// board, executing out of SPI Flash at 0x20400000.
-
-#include <stdint.h>
-#include "platform.h"
-
-#ifndef _SIFIVE_HIFIVE1_H
-#error "'led_fade' is designed to run on HiFive1 and/or E300 Arty Dev Kit."
-#endif
-
-static const char led_msg[] = "\a\n\r\n\r\
-55555555555555555555555555555555555555555555555\n\r\
-5555555 Are the LEDs Changing? [y/n] 555555555\n\r\
-55555555555555555555555555555555555555555555555\n\r";
-
-static const char sifive_msg[] = "\n\r\
-\n\r\
- SIFIVE, INC.\n\r\
-\n\r\
- 5555555555555555555555555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555555555555555555555\n\r\
- 5555 555555555555555555555555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
- 5555 5555\n\r\
-5555555555555555555555555555 55555\n\r\
- 55555 555555555 55555\n\r\
- 55555 55555 55555\n\r\
- 55555 5 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 55555 55555\n\r\
- 555555555\n\r\
- 55555\n\r\
- 5\n\r\
-\n\r\
- 'led_fade' Demo \n\r\
-\n\r";
-
-static void _putc(char c) {
- while ((int32_t) UART0_REG(UART_REG_TXFIFO) < 0);
- UART0_REG(UART_REG_TXFIFO) = c;
-}
-
-int _getc(char * c){
- int32_t val = (int32_t) UART0_REG(UART_REG_RXFIFO);
- if (val > 0) {
- *c = val & 0xFF;
- return 1;
- }
- return 0;
-}
-
-
-static void _puts(const char * s) {
- while (*s != '\0'){
- _putc(*s++);
- }
-}
-
-
-int main (void){
-
- // Make sure the HFROSC is on before the next line:
- PRCI_REG(PRCI_HFROSCCFG) |= ROSC_EN(1);
- // Run off 16 MHz Crystal for accuracy. Note that the
- // first line is
- PRCI_REG(PRCI_PLLCFG) = (PLL_REFSEL(1) | PLL_BYPASS(1));
- PRCI_REG(PRCI_PLLCFG) |= (PLL_SEL(1));
- // Turn off HFROSC to save power
- PRCI_REG(PRCI_HFROSCCFG) &= ~(ROSC_EN(1));
-
- // Configure UART to print
- GPIO_REG(GPIO_OUTPUT_VAL) |= IOF0_UART0_MASK;
- GPIO_REG(GPIO_OUTPUT_EN) |= IOF0_UART0_MASK;
- GPIO_REG(GPIO_IOF_SEL) &= ~IOF0_UART0_MASK;
- GPIO_REG(GPIO_IOF_EN) |= IOF0_UART0_MASK;
-
- // 115200 Baud Rate
- UART0_REG(UART_REG_DIV) = 138;
- UART0_REG(UART_REG_TXCTRL) = UART_TXEN;
- UART0_REG(UART_REG_RXCTRL) = UART_RXEN;
-
- // Wait a bit to avoid corruption on the UART.
- // (In some cases, switching to the IOF can lead
- // to output glitches, so need to let the UART
- // reciever time out and resynchronize to the real
- // start of the stream.
- volatile int i=0;
- while(i < 10000){i++;}
-
- _puts(sifive_msg);
- //_puts("Config String:\n\r");
- //_puts(*((const char **) 0x100C));
- //_puts("\n\r");
- _puts(led_msg);
-
- uint16_t r=0xFF;
- uint16_t g=0;
- uint16_t b=0;
- char c = 0;
-
- // Set up RGB PWM
-
- PWM1_REG(PWM_CFG) = 0;
- // To balance the power consumption, make one left, one right, and one center aligned.
- PWM1_REG(PWM_CFG) = (PWM_CFG_ENALWAYS) | (PWM_CFG_CMP2CENTER);
- PWM1_REG(PWM_COUNT) = 0;
-
- // Period is approximately 244 Hz
- // the LEDs are intentionally left somewhat dim,
- // as the full brightness can be painful to look at.
- PWM1_REG(PWM_CMP0) = 0;
-
- GPIO_REG(GPIO_IOF_SEL) |= ( (1 << GREEN_LED_OFFSET) | (1 << BLUE_LED_OFFSET) | (1 << RED_LED_OFFSET));
- GPIO_REG(GPIO_IOF_EN ) |= ( (1 << GREEN_LED_OFFSET) | (1 << BLUE_LED_OFFSET) | (1 << RED_LED_OFFSET));
- GPIO_REG(GPIO_OUTPUT_XOR) &= ~( (1 << GREEN_LED_OFFSET) | (1 << BLUE_LED_OFFSET));
- GPIO_REG(GPIO_OUTPUT_XOR) |= (1 << RED_LED_OFFSET);
-
- while(1){
- volatile uint64_t * now = (volatile uint64_t*)(CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t then = *now + 100;
- while (*now < then) { }
-
- if(r > 0 && b == 0){
- r--;
- g++;
- }
- if(g > 0 && r == 0){
- g--;
- b++;
- }
- if(b > 0 && g == 0){
- r++;
- b--;
- }
-
- uint32_t G = g;
- uint32_t R = r;
- uint32_t B = b;
-
- PWM1_REG(PWM_CMP1) = G << 4; // PWM is low on the left, GPIO is low on the left side, LED is ON on the left.
- PWM1_REG(PWM_CMP2) = (B << 1) << 4; // PWM is high on the middle, GPIO is low in the middle, LED is ON in the middle.
- PWM1_REG(PWM_CMP3) = 0xFFFF - (R << 4); // PWM is low on the left, GPIO is low on the right, LED is on on the right.
-
- // Check for user input
- if (c == 0){
- if (_getc(&c) != 0){
- _putc(c);
- _puts("\n\r");
-
- if ((c == 'y') || (c == 'Y')){
- _puts("PASS\n\r");
- } else{
- _puts("FAIL\n\r");
- }
- }
- }
- }
-}
diff --git a/software/local_interrupts/.unsupported-boards b/software/local_interrupts/.unsupported-boards
deleted file mode 100644
index 33cea3a..0000000
--- a/software/local_interrupts/.unsupported-boards
+++ /dev/null
@@ -1,2 +0,0 @@
-freedom-e300-arty
-freedom-e300-hifive1
diff --git a/software/local_interrupts/Makefile b/software/local_interrupts/Makefile
deleted file mode 100644
index 4605ee9..0000000
--- a/software/local_interrupts/Makefile
+++ /dev/null
@@ -1,8 +0,0 @@
-TARGET = local_interrupts
-CFLAGS += -O2 -fno-builtin-printf -DUSE_LOCAL_ISR
-
-BSP_BASE = ../../bsp
-
-C_SRCS += local_interrupts.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/local_interrupts/local_interrupts.c b/software/local_interrupts/local_interrupts.c
deleted file mode 100644
index cc9bfe7..0000000
--- a/software/local_interrupts/local_interrupts.c
+++ /dev/null
@@ -1,216 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "encoding.h"
-#include <unistd.h>
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#error 'local_interrupts' demo only supported for Coreplex IP Eval Kits
-#endif
-
-// Global Variable used to show
-// software interrupts.
-volatile uint32_t g_debouncing;
-
-void debounce();
-
-// Structures for registering different interrupt handlers
-// for different parts of the application.
-typedef void (*interrupt_function_ptr_t) (void);
-
-// This function enables some of the local interrupts sources
-// used in this demo -- just those for the buttons and
-// Switch 3.
-
-void enable_local_interrupts(){
-
- // lowest priority
- set_csr(mie, MIP_MLIP(LOCAL_INT_SW_3 ));
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_0));
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_1));
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_2));
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_3));
- // highest priority
-
-}
-
-void disable_local_interrupts() {
-
- // lowest priority
- clear_csr(mie, MIP_MLIP(LOCAL_INT_SW_3 ));
- clear_csr(mie, MIP_MLIP(LOCAL_INT_BTN_0));
- clear_csr(mie, MIP_MLIP(LOCAL_INT_BTN_1));
- clear_csr(mie, MIP_MLIP(LOCAL_INT_BTN_2));
- clear_csr(mie, MIP_MLIP(LOCAL_INT_BTN_3));
- // highest priority
-
-}
-
-/*Entry Point for Machine Software Interrupt Handler*/
-void msi_isr() {
-
- const char msi_msg[] = " Debouncing: (this message due to Software Interrupt))\n\n";
- write (STDOUT_FILENO, msi_msg, strlen(msi_msg));
-
- //clear the SW interrupt
- CLINT_REG(CLINT_MSIP) = 0;
-
-}
-
-/*Entry Point for Machine Timer Interrupt Handler*/
-void mti_isr(){
-
- // Disable the timer interrupt. The Debounce logic sets it.
- clear_csr(mie, MIP_MTIP);
-
- // Enable all the local interrupts
- enable_local_interrupts();
-}
-
-
-const char * instructions_msg = " \
-\n\
- SiFive, Inc\n\
- E31/E51 Coreplex IP Eval Kit 'local_interrupts' demo.\n\
-\n\
-The Buttons 0-3 and Switch 3 are enabled as local\n\
-interrupts sources. A .5 s 'debounce' timer is used \n\
-between these interrupts. Software interrupts are\n\
-used to print a message while debouncing.\n\
-Note the priority of the interrupts sources.\n\
-\n";
-
-void print_instructions() {
-
- write (STDERR_FILENO, instructions_msg, strlen(instructions_msg));
-
-}
-
-void button_0_isr(void) {
-
- // Toggle Red LED
- const char button_0_msg[] = "Button 0 was pressed. Toggle Red.\n";
- write (STDOUT_FILENO, button_0_msg, strlen(button_0_msg));
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << RED_LED_OFFSET);
- debounce();
-};
-
-void button_1_isr(void) {
-
- // Toggle Green LED
- const char button_1_msg[] = "Button 1 was pressed. Toggle Green.\n";
- write (STDOUT_FILENO, button_1_msg, strlen(button_1_msg));
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << GREEN_LED_OFFSET);
- debounce();
-};
-
-
-void button_2_isr(void) {
-
- // Toggle Blue LED
- const char button_2_msg[] = "Button 2 was pressed. Toggle Blue.\n";
- write (STDOUT_FILENO, button_2_msg, strlen(button_2_msg));
- GPIO_REG(GPIO_OUTPUT_VAL) = GPIO_REG(GPIO_OUTPUT_VAL) ^ (0x1 << BLUE_LED_OFFSET);
- debounce();
-
-};
-
-void button_3_isr(void) {
- const char button_3_msg[] = "Button 3 was pressed! (No LEDs change).\n";
- write (STDOUT_FILENO, button_3_msg, strlen(button_3_msg));
- debounce();
-}
-
-void switch_3_isr(void) {
- const char sw_3_msg[] = "Switch 3 is on! But buttons have higher priority.\n";
- write (STDOUT_FILENO, sw_3_msg, strlen(sw_3_msg));
- debounce();
-}
-
-void debounce(int local_interrupt_num) {
-
- // Disable the most recent interrupt.
- // Don't enable it again until the timer goes off,
- // in .5 second.
-
- // Set the machine timer to go off in .5 seconds.
- // If the timer was already set to go off, this "cancels"
- // the current one.
-
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- uint64_t now = *mtime;
- uint64_t then = now + .5*RTC_FREQ;
- *mtimecmp = then;
-
- disable_local_interrupts();
- g_debouncing = 1;
-
- // Enable the Machine-Timer bit in MIE
- set_csr(mie, MIP_MTIP);
-
-}
-
-// See bsp/env/<BOARD>/init.c for how this
-// interrupt vector is used.
-
-interrupt_function_ptr_t localISR[32];
-
-int main(int argc, char **argv)
-{
-
- // Configure LEDs as outputs.
- GPIO_REG(GPIO_INPUT_EN) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << BLUE_LED_OFFSET) ;
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET)) ;
-
- // The Buttons and Switches which are used as local interrupt sources
- // do not go through the GPIO peripheral, so they do not need to
- // be configured as inputs.
-
- // Disable the timer & local interrupts until setup is done (they're
- // not reset by default)
-
- // Disable all interrupts. MIE is not reset.
- write_csr(mie, 0);
-
- for (int isr = 0; isr < 32; isr++){
- localISR[isr] = 0;
- }
-
- localISR[IRQ_M_SOFT] = msi_isr;
- localISR[IRQ_M_TIMER] = mti_isr;
- localISR[IRQ_M_LOCAL + LOCAL_INT_SW_3] = switch_3_isr;
- localISR[IRQ_M_LOCAL + LOCAL_INT_BTN_0] = button_0_isr;
- localISR[IRQ_M_LOCAL + LOCAL_INT_BTN_1] = button_1_isr;
- localISR[IRQ_M_LOCAL + LOCAL_INT_BTN_2] = button_2_isr;
- localISR[IRQ_M_LOCAL + LOCAL_INT_BTN_3] = button_3_isr;
-
- print_instructions();
-
- enable_local_interrupts();
-
- g_debouncing = 0;
-
- // Enable SW interrupts as well in this demo.
- set_csr(mie, MIP_MSIP);
-
- // Enable all global interrupts
- set_csr(mstatus, MSTATUS_MIE);
-
- volatile int foo = 1;
- while(foo){
- if (g_debouncing){
- //Trigger a SW interrupt
- CLINT_REG(CLINT_MSIP) = 1;
- g_debouncing = 0;
- }
- }
-
- return 0;
-
-}
diff --git a/software/performance_counters/Makefile b/software/performance_counters/Makefile
deleted file mode 100644
index eb3e8f1..0000000
--- a/software/performance_counters/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = performance_counters
-C_SRCS += performance_counters.c
-CFLAGS += -O2 -fno-builtin-printf
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/performance_counters/performance_counters.c b/software/performance_counters/performance_counters.c
deleted file mode 100644
index 8094128..0000000
--- a/software/performance_counters/performance_counters.c
+++ /dev/null
@@ -1,133 +0,0 @@
-// See LICENSE for license details.
-
-// This demo shows how to use basic
-// RISC-V profiling counters, mcycle
-// (counts the number of processor cycles)
-// and minstret (counts the number of retired instructions).
-// Note that both are writable as well.
-
-#include <stdio.h>
-
-// The CSR encodings are in this header.
-#include "encoding.h"
-
-// The mcycle counter is 64-bit counter, but since
-// Freedom E platforms use RV32, we must access it as
-// 2 32-bit registers. At 256MHz, the lower bits will
-// roll over approx. every 5 seconds, so we check for
-// rollover with this routine as suggested by the
-// RISC-V Priviledged Architecture Specification.
-
-#if __riscv_xlen == 64
-#define rdmcycle(x) { \
- uint64_t hi; \
- __asm__ __volatile__ ("1:\n\t" \
- "csrr %0, mcycle\n\t" \
- : "=r" (hi)) ; \
- *(x) = hi; \
- }
-#else
-#define rdmcycle(x) { \
- uint32_t lo, hi, hi2; \
- __asm__ __volatile__ ("1:\n\t" \
- "csrr %0, mcycleh\n\t" \
- "csrr %1, mcycle\n\t" \
- "csrr %2, mcycleh\n\t" \
- "bne %0, %2, 1b\n\t" \
- : "=r" (hi), "=r" (lo), "=r" (hi2)) ; \
- *(x) = lo | ((uint64_t) hi << 32); \
- }
-#endif
-
-
-// The minstret counter is 64-bit counter, but
-// Freedom E platforms use RV32, we must access it as
-// 2 32-bit registers, same as for mcycle.
-
-#if __riscv_xlen == 64
-#define rdminstret(x) { \
- uint64_t hi; \
- __asm__ __volatile__ ("1:\n\t" \
- "csrr %0, minstret\n\t" \
- : "=r" (hi)) ; \
- *(x) = hi; \
- }
-#else
-#define rdminstret(x) { \
- uint32_t lo, hi, hi2; \
- __asm__ __volatile__ ("1:\n\t" \
- "csrr %0, minstreth\n\t" \
- "csrr %1, minstret\n\t" \
- "csrr %2, minstreth\n\t" \
- "bne %0, %2, 1b\n\t" \
- : "=r" (hi), "=r" (lo), "=r" (hi2)) ; \
- *(x) = lo | ((uint64_t) hi << 32); \
- }
-#endif
-
-// Simple program to measure the performance of.
-
-int factorial(int i){
-
- int result = 1;
- for (int ii = 1; ii <= i; ii++) {
- result = result * i;
- }
-
- return result;
-
-}
-
-
-int main()
-{
-
- uint64_t before_cycle;
- uint64_t before_instret;
-
- uint64_t after_cycle;
- uint64_t after_instret;
-
- printf("\n\nDemo 1: Using Counter Differences.\n");
-
- for (int ii = 0; ii < 3; ii++){
- rdmcycle(&before_cycle);
- rdminstret(&before_instret);
-
- volatile int result = factorial (100);
-
- rdmcycle(&after_cycle);
- rdminstret(&after_instret);
-
- printf("Loop %d: Retired %d instructions in %d cycles\n",
- ii,
- (uint32_t)(after_instret - before_instret),
- (uint32_t)(after_cycle - before_cycle));
- }
-
- printf("\n\nDemo 2: Clearing Counters, Using Values Directly.\n");
-
- for (int ii = 0; ii < 3; ii++){
-
- write_csr(mcycle, 0);
- write_csr(minstret, 0);
-#if __riscv_xlen == 32
- write_csr(mcycleh, 0);
- write_csr(minstreth, 0);
-#endif
-
- volatile int result = factorial (100);
-
- rdmcycle(&after_cycle);
- rdminstret(&after_instret);
-
- printf("Loop %d: Retired %d instructions in %d cycles\n",
- ii,
- (uint32_t)(after_instret),
- (uint32_t)(after_cycle));
-
- }
-
- return 0;
-
-}
diff --git a/software/smp/Makefile b/software/smp/Makefile
deleted file mode 100644
index 4131ffc..0000000
--- a/software/smp/Makefile
+++ /dev/null
@@ -1,6 +0,0 @@
-TARGET = smp
-C_SRCS += smp.c
-CFLAGS += -O2 -fno-builtin-printf -DENABLE_SMP
-
-BSP_BASE = ../../bsp
-include $(BSP_BASE)/env/common.mk
diff --git a/software/smp/atomic.h b/software/smp/atomic.h
deleted file mode 100644
index 074c6a2..0000000
--- a/software/smp/atomic.h
+++ /dev/null
@@ -1,29 +0,0 @@
-#ifndef SIFIVE_ATOMIC_H
-#define SIFIVE_ATOMIC_H
-
-#define ATOMIC_INIT(x) \
- { \
- .counter = (x), \
- }
-
-typedef struct {
- int counter;
-} atomic_t;
-
-static inline int atomic_xchg(atomic_t *v, int n)
-{
- register int c;
-
- __asm__ __volatile__ (
- "amoswap.w.aqrl %0, %2, %1"
- : "=r" (c), "+A" (v->counter)
- : "r" (n));
- return c;
-}
-
-static inline void mb(void)
-{
- __asm__ __volatile__ ("fence");
-}
-
-#endif
diff --git a/software/smp/smp.c b/software/smp/smp.c
deleted file mode 100644
index 36c9db9..0000000
--- a/software/smp/smp.c
+++ /dev/null
@@ -1,40 +0,0 @@
-#include <stdio.h>
-#include <unistd.h>
-#include "atomic.h"
-void write_hex(int, unsigned long);
-
-atomic_t tty_lock = ATOMIC_INIT(0);
-
-void get_lock(atomic_t *lock)
-{
- while (atomic_xchg(lock, 1) == 1);
- mb();
-}
-
-void put_lock(atomic_t *lock)
-{
- mb();
- atomic_xchg(lock, 0);
-}
-
-int secondary_main(int hartid)
-{
- volatile int counter;
-
- while (1) {
- get_lock(&tty_lock);
- write(1, "hello world from hart ", 22);
- char s[] = {'0', '\n', '\0'};
- s[0] += hartid;
- write(1, s, 2);
- put_lock(&tty_lock);
-
- for (counter = 0; counter < 10000 + 100*hartid; ++counter)
- mb();
- }
-}
-
-int main()
-{
- return secondary_main(0);
-}
diff --git a/software/vectored_interrupts/Makefile b/software/vectored_interrupts/Makefile
deleted file mode 100644
index 4365038..0000000
--- a/software/vectored_interrupts/Makefile
+++ /dev/null
@@ -1,10 +0,0 @@
-TARGET = vectored_interrupts
-CFLAGS += -O2 -fno-builtin-printf -DVECT_IRQ
-
-BSP_BASE = ../../bsp
-
-ASM_SRCS += $(ENV_DIR)/ventry.S
-C_SRCS += vectored_interrupts.c
-C_SRCS += $(BSP_BASE)/drivers/plic/plic_driver.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/vectored_interrupts/vectored_interrupts.c b/software/vectored_interrupts/vectored_interrupts.c
deleted file mode 100644
index cb6a864..0000000
--- a/software/vectored_interrupts/vectored_interrupts.c
+++ /dev/null
@@ -1,270 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "plic/plic_driver.h"
-#include "encoding.h"
-#include <unistd.h>
-
-#ifndef _SIFIVE_COREPLEXIP_ARTY_H
-#error 'global_interrupts' demo only supported for Coreplex IP Eval Kits
-#endif
-
-// Global Instance data for the PLIC
-// for use by the PLIC Driver.
-plic_instance_t g_plic;
-
-// Structures for registering different interrupt handlers
-// for different parts of the application.
-typedef void (*interrupt_function_ptr_t) (void);
-//array of function pointers which contains the PLIC
-//interrupt handlers
-interrupt_function_ptr_t g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS];
-
-//ecall countdown
-uint32_t ecall_countdown;
-//ecall macro used to store argument in a0
-#define ECALL(arg) ({ \
- register uintptr_t a0 asm ("a0") = (uintptr_t)(arg); \
- asm volatile ("ecall" \
- : "+r" (a0) \
- : \
- : "memory"); \
- a0; \
-})
-
-
-const char * instructions_msg = " \
-\n\
- SIFIVE, INC.\n\
-E31/E51 Coreplex IP Eval Kit 'vectored_interrupts' demo. \n\
-\n\
-This demo demonstrates Vectored Interrupts capabilities of\n\
-the E31/E51 Coreplex. The vector table is defined in \n\
-bsp/env/ventry.S \n\
-Button 0 is a global external interrupt routed to the PLIC.\n\
-Button 1 is a local interrupt.\n\
-Every 10 seconds, an ECALL is made. \n\
-\n";
-
-void print_instructions() {
-
- write (STDOUT_FILENO, instructions_msg, strlen(instructions_msg));
-
-}
-
-void set_timer() {
- static uint64_t then = 0;
-
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- if(then != 0) {
- //next timer irq is 1 second from previous
- then += 1*RTC_FREQ;
- } else{ //first time setting the timer
- uint64_t now = *mtime;
- then = now + 1*RTC_FREQ;
- }
- *mtimecmp = then;
-
- set_csr(mie, MIP_MTIP);
-}
-
-/*Entry Point for Machine Timer Interrupt Handler*/
-/*called from bsp/env/ventry.s */
-void handle_m_time_interrupt(){
- static uint32_t onoff=1;
-
- clear_csr(mie, MIP_MTIP);
-
- //increment ecall_countdown
- ecall_countdown++;
-
- // Set Green LED
- if(onoff) {
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ;
- onoff=0;
- }else {
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1 << GREEN_LED_OFFSET)) ;
- onoff=1;
- }
-
- set_timer();
- //re-enable button1 irq
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_1));
-
-}
-
-/*Synchronous Trap Handler*/
-/*called from bsp/env/ventry.s */
-void handle_sync_trap(uint32_t arg0) {
- uint32_t exception_code = read_csr(mcause);
-
- //check for machine mode ecall
- if(exception_code == CAUSE_MACHINE_ECALL) {
- //reset ecall_countdown
- ecall_countdown = 0;
-
- //ecall argument is stored in a0 prior to
- //ECALL instruction.
- printf("ecall from M-mode: %d\n",arg0);
-
- //on exceptions, mepc points to the instruction
- //which triggered the exception, in order to
- //return to the next instruction, increment
- //mepc
- unsigned long epc = read_csr(mepc);
- epc += 4; //return to next instruction
- write_csr(mepc, epc);
-
- } else{
- printf("vUnhandled Trap:\n");
- _exit(1 + read_csr(mcause));
- }
-}
-
-/*Entry Point for PLIC Interrupt Handler*/
-/*called from bsp/env/ventry.s */
-void handle_m_external_interrupt(){
- printf("In PLIC handler\n");
- plic_source int_num = PLIC_claim_interrupt(&g_plic);
- if ((int_num >=1 ) && (int_num < PLIC_NUM_INTERRUPTS)) {
- g_ext_interrupt_handlers[int_num]();
- }
- else {
- exit(1 + (uintptr_t) int_num);
- }
- PLIC_complete_interrupt(&g_plic, int_num);
-}
-
-//default empty PLIC handler
-void invalid_global_isr() {
- printf("Unexpected global interrupt!\n");
-}
-
-/* b0 global interrupt isr */
-/*called from handle_m_external_interrupt */
-void button_0_handler() {
- static uint32_t onoff=1;
- // Set Green LED
-
- printf("In Button 0 handler\n");
-
- if(onoff) {
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << BLUE_LED_OFFSET) ;
- onoff=0;
- }else {
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1 << BLUE_LED_OFFSET)) ;
- onoff=1;
- }
-
- //clear irq - interrupt pending register is write 1 to clear
- GPIO_REG(GPIO_FALL_IP) |= (1<<BUTTON_0_OFFSET);
-}
-
-/*b1 local vectored irq handler */
-/*called from bsp/env/ventry.s */
-void handle_local_interrupt5() {
- static uint32_t onoff=1;
- // Set Green LED
-
- printf("In Button 1 handler\n");
-
- if(onoff) {
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << RED_LED_OFFSET) ;
- onoff=0;
- }else {
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1 << RED_LED_OFFSET)) ;
- onoff=1;
- }
-
- //debounce by turning off until next timer tick
- clear_csr(mie, MIP_MLIP(LOCAL_INT_BTN_1));
-}
-
-/*configures Button0 as a global gpio irq*/
-void b0_irq_init() {
-
- //dissable hw io function
- GPIO_REG(GPIO_IOF_EN ) &= ~(1 << BUTTON_0_OFFSET);
-
- //set to input
- GPIO_REG(GPIO_INPUT_EN) |= (1<<BUTTON_0_OFFSET);
- GPIO_REG(GPIO_PULLUP_EN) |= (1<<BUTTON_0_OFFSET);
-
- //set to interrupt on falling edge
- GPIO_REG(GPIO_FALL_IE) |= (1<<BUTTON_0_OFFSET);
-
- PLIC_init(&g_plic,
- PLIC_CTRL_ADDR,
- PLIC_NUM_INTERRUPTS,
- PLIC_NUM_PRIORITIES);
-
- PLIC_enable_interrupt (&g_plic, INT_DEVICE_BUTTON_0);
- PLIC_set_priority(&g_plic, INT_DEVICE_BUTTON_0, 2);
- g_ext_interrupt_handlers[INT_DEVICE_BUTTON_0] = button_0_handler;
-}
-
-/*configures Button1 as a local interrupt*/
-void b1_irq_init() {
-
- //enable the interrupt
- set_csr(mie, MIP_MLIP(LOCAL_INT_BTN_1));
-
-}
-
-/*turn down the brightness, and configure GPIO */
-void led_init() {
- // Make sure people aren't blinded by LEDs connected here.
- PWM0_REG(PWM_CMP0) = 0xFE;
- PWM0_REG(PWM_CMP1) = 0xFF;
- PWM0_REG(PWM_CMP2) = 0xFF;
- PWM0_REG(PWM_CMP3) = 0xFF;
- // Set up RGB LEDs for a visual.
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET)| (0x1<< BLUE_LED_OFFSET));
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1<< BLUE_LED_OFFSET));
-
-}
-
-int main(int argc, char **argv)
-{
- uint32_t ecall_count = 0;
-
- //setup default global interrupt handler
- for (int gisr = 0; gisr < PLIC_NUM_INTERRUPTS; gisr++){
- g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS] = invalid_global_isr;
- }
-
- print_instructions();
-
- led_init();
- b0_irq_init();
- b1_irq_init();
-
- //initialize ecall_countdown
- ecall_countdown = 0;
-
- // Set up machine timer interrupt.
- set_timer();
-
- // Enable Global (PLIC) interrupts.
- set_csr(mie, MIP_MEIP);
-
- // Enable all interrupts
- set_csr(mstatus, MSTATUS_MIE);
-
-
- while(1){
- asm volatile ("wfi");
- //check if ecall_countdown is 10
- if(ecall_countdown == 10) {
- ECALL(ecall_count++);
- }
- //otherwise wfi
- }
-
- return 0;
-
-}
diff --git a/software/watchdog/.gitignore b/software/watchdog/.gitignore
deleted file mode 100644
index 35566c7..0000000
--- a/software/watchdog/.gitignore
+++ /dev/null
@@ -1 +0,0 @@
-demo_gpio
diff --git a/software/watchdog/.unsupported-boards b/software/watchdog/.unsupported-boards
deleted file mode 100644
index 1e65df5..0000000
--- a/software/watchdog/.unsupported-boards
+++ /dev/null
@@ -1 +0,0 @@
-coreplexip-e51-arty
diff --git a/software/watchdog/Makefile b/software/watchdog/Makefile
deleted file mode 100644
index f614a4e..0000000
--- a/software/watchdog/Makefile
+++ /dev/null
@@ -1,9 +0,0 @@
-TARGET = watchdog
-CFLAGS += -O2 -fno-builtin-printf -DUSE_PLIC -DUSE_M_TIME
-
-BSP_BASE = ../../bsp
-
-C_SRCS += watchdog.c
-C_SRCS += $(BSP_BASE)/drivers/plic/plic_driver.c
-
-include $(BSP_BASE)/env/common.mk
diff --git a/software/watchdog/watchdog.c b/software/watchdog/watchdog.c
deleted file mode 100644
index 672ed68..0000000
--- a/software/watchdog/watchdog.c
+++ /dev/null
@@ -1,221 +0,0 @@
-// See LICENSE for license details.
-
-#include <stdio.h>
-#include <stdlib.h>
-#include "platform.h"
-#include <string.h>
-#include "plic/plic_driver.h"
-#include "encoding.h"
-#include <unistd.h>
-#include "stdatomic.h"
-
-void reset_demo (void);
-
-// Structures for registering different interrupt handlers
-// for different parts of the application.
-typedef void (*function_ptr_t) (void);
-void no_interrupt_handler (void) {};
-function_ptr_t g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS];
-
-
-// Instance data for the PLIC.
-plic_instance_t g_plic;
-
-
-/**
-* use mtime to wait for a specified number of ticks.
-* async determins if this is a busy wait, or if
-* an irq is scheduled
-*/
-void mtime_wait( uint64_t ticks, uint32_t async)
-{
-
- volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME);
- uint64_t now = *mtime;
-
- //if async, schedule irq
- if(async) {
- volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP);
- uint64_t then = now + ticks;
- *mtimecmp = then;
- set_csr(mie, MIP_MTIP);
-
- } else {
- //else busy wait
- uint64_t then = now + ticks;
- while(*mtime<then) {}
-
- }
-
-}
-
-/*Entry Point for PLIC Interrupt Handler*/
-void handle_m_ext_interrupt(){
- plic_source int_num = PLIC_claim_interrupt(&g_plic);
- if ((int_num >=1 ) && (int_num < PLIC_NUM_INTERRUPTS)) {
- g_ext_interrupt_handlers[int_num]();
- }
- else {
- exit(1 + (uintptr_t) int_num);
- }
- PLIC_complete_interrupt(&g_plic, int_num);
-}
-
-//global countdown timer
-static uint32_t countdown = 10;
-/*Entry Point for Machine Timer Interrupt Handler*/
-void handle_m_time_interrupt(){
-
- //cleare the timer irq
- clear_csr(mie, MIP_MTIP);
-
- //schedule next timer irq for 1 second
- mtime_wait(1*RTC_FREQ, 1);
-
- //flash a led
- GPIO_REG(GPIO_OUTPUT_VAL) ^= (0x1 << BLUE_LED_OFFSET);
-
- //print the count
- printf("watchdog reset in %d\n", countdown--);
-}
-
-
-const char * instructions_msg = " \
-\n\
- SIFIVE, INC.\n\
-\n\
- 5555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555555555555555555555\n\
- 5555 555555555555555555555555\n\
- 5555 5555\n\
- 5555 5555\n\
- 5555 5555\n\
-5555555555555555555555555555 55555\n\
- 55555 555555555 55555\n\
- 55555 55555 55555\n\
- 55555 5 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 55555 55555\n\
- 555555555\n\
- 55555\n\
- 5\n\
-\n\
-This application demonstrates the functionality of\n\
-the watchdog timer present in the HiFive1 AON peripheral.\n\
-\n\
-The Watchdog timer will expire in 10 seconds resulting in\n\
-a software reset.\n\
-\n\
-Press a key to prevent a reset\n\
-";
-
-void print_instructions() {
-
- write (STDOUT_FILENO, instructions_msg, strlen(instructions_msg));
-
-}
-
-
-/**
-* Flash the red led for a second, then set up
-* blue for blinking during mtime irq
-*/
-void led_init() {
-
-
- // Set up the GPIOs such that the LED GPIO
- // can be used as both Inputs and Outputs.
- GPIO_REG(GPIO_INPUT_EN) &= ~((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1 << BLUE_LED_OFFSET)) ;
- GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET)| (0x1<< BLUE_LED_OFFSET));
- GPIO_REG(GPIO_OUTPUT_VAL) |= ((0x1<< RED_LED_OFFSET) | (0x1<< GREEN_LED_OFFSET) | (0x1<< BLUE_LED_OFFSET));
-
- //flash red led to indicate reset
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << RED_LED_OFFSET) ;
- mtime_wait(1*RTC_FREQ,0);
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << RED_LED_OFFSET) ;
-}
-
-/**
-* initialize the watchdog to reset in
-* 5 seconds
-**/
-void watchdog_init() {
-
-
-//reset in 10 seconds
-AON_REG(AON_WDOGKEY) = AON_WDOGKEY_VALUE;
-AON_REG(AON_WDOGCMP) = 11;
-//wdogconfig: : wdogrsten | enablealways | reset to 0 | max scale
-AON_REG(AON_WDOGKEY) = AON_WDOGKEY_VALUE;
-AON_REG(AON_WDOGCFG) |= (AON_WDOGCFG_RSTEN | AON_WDOGCFG_ENALWAYS |\
- AON_WDOGCFG_ZEROCMP | AON_WDOGCFG_SCALE) ;
-
-}
-
-void reset_demo () {
-
- // Disable the machine & timer interrupts until setup is done.
- clear_csr(mie, MIP_MEIP);
- clear_csr(mie, MIP_MTIP);
-
- //enable uart input
- UART0_REG(UART_REG_RXCTRL) = UART_RXEN;
-
- /**************************************************************************
- * Set up the PLIC
- *************************************************************************/
- PLIC_init(&g_plic,
- PLIC_CTRL_ADDR,
- PLIC_NUM_INTERRUPTS,
- PLIC_NUM_PRIORITIES);
-
- for (int ii = 0; ii < PLIC_NUM_INTERRUPTS; ii ++){
- g_ext_interrupt_handlers[ii] = no_interrupt_handler;
- }
-
-
- led_init();
- print_instructions();
- watchdog_init();
-
- // Enable the Machine-External bit in MIE
- set_csr(mie, MIP_MEIP);
- // Enable interrupts in general.
- set_csr(mstatus, MSTATUS_MIE);
-}
-
-int main(int argc, char **argv)
-{
-
- reset_demo();
- //schedule a 1 second timer
- mtime_wait(1*RTC_FREQ,1);
-
-
- while (1){
- char c;
- if(((int32_t) UART0_REG(UART_REG_RXFIFO)) > 0){
- //flash green led to indicate Kick
- GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << GREEN_LED_OFFSET) ;
-
- printf("Feeding Watchdog.\nHiFive1 will reset in 10 seconds.\n");
- countdown = 10;
- AON_REG(AON_WDOGKEY) = AON_WDOGKEY_VALUE;
- AON_REG(AON_WDOGFEED) = AON_WDOGFEED_VALUE;
-
- //busy wait a bit so the user sees the led blink
- mtime_wait(5000, 0);
- //turn off led
- GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ;
- }
- }
-
- return 0;
-
-}