From 809711e87af06ca5c151a2fac568382330f2feb6 Mon Sep 17 00:00:00 2001 From: Megan Wachs Date: Wed, 14 Jun 2017 08:52:57 -0700 Subject: New Freedom Studio Examples (#66) * examples ported to ilg build plugin * project cleanup * CoreplexIP-E31 ilg projects * E51FPGA ilg projects * Tested Debug * debug launch files * E31 Debug Launch Files * removed typo project * E51 launch files. Forgotten E31 File * Missing coreplexip files * examples ported to ilg build plugin * project cleanup * CoreplexIP-E31 ilg projects * E51FPGA ilg projects * Tested Debug * debug launch files * E31 Debug Launch Files * removed typo project * E51 launch files. Forgotten E31 File * Missing coreplexip files * starting fresh * HiFive1 demo_gpio and libwrap * hifive1 hello * debug launchers for hello and demo_gpio * hifive1 led_fade * led_fade: Since E300 Arty Dev Kit doesn't have a PRCI, the led_fade demo doesn't really work on it. * update include paths to ease generating stand-alone zips * Adding E51 Examples * E51 demo launch files * E31 Demos * E31 demo launch files --- FreedomStudio/E31FPGA/coreplexio_welcome/.cproject | 166 -------------- .../E31FPGA/coreplexio_welcome/.gitignore | 1 - FreedomStudio/E31FPGA/coreplexio_welcome/.project | 228 ------------------- .../coreplexio_welcome OpenOCD.launch | 59 ----- FreedomStudio/E31FPGA/coreplexio_welcome/link.lds | 167 -------------- .../E31FPGA/coreplexio_welcome/openocd.cfg | 31 --- FreedomStudio/E31FPGA/coreplexip_welcome/.cproject | 219 ++++++++++++++++++ .../E31FPGA/coreplexip_welcome/.gitignore | 1 + FreedomStudio/E31FPGA/coreplexip_welcome/.project | 233 +++++++++++++++++++ .../coreplexip_welcome Debug.launch | 59 +++++ .../sifive-coreplexip-e31-arty.cfg | 31 +++ FreedomStudio/E31FPGA/demo_gpio/.cproject | 165 -------------- FreedomStudio/E31FPGA/demo_gpio/.gitignore | 1 - FreedomStudio/E31FPGA/demo_gpio/.project | 228 ------------------- .../E31FPGA/demo_gpio/demo_gpio OpenOCD.launch | 59 ----- FreedomStudio/E31FPGA/demo_gpio/link.lds | 167 -------------- FreedomStudio/E31FPGA/demo_gpio/openocd.cfg | 31 --- FreedomStudio/E31FPGA/global_interrupts/.cproject | 229 ++++++++++++------- FreedomStudio/E31FPGA/global_interrupts/.project | 146 +----------- .../global_interrupts Debug.launch | 59 +++++ .../global_interrupts OpenOCD.launch | 59 ----- .../E31FPGA/global_interrupts/global_interrupts.c | 250 --------------------- FreedomStudio/E31FPGA/global_interrupts/link.lds | 167 -------------- .../E31FPGA/global_interrupts/openocd.cfg | 31 --- .../sifive-coreplexip-e31-arty.cfg | 31 +++ FreedomStudio/E31FPGA/local_interrupts/.cproject | 231 +++++++++++-------- FreedomStudio/E31FPGA/local_interrupts/.project | 15 +- FreedomStudio/E31FPGA/local_interrupts/link.lds | 167 -------------- .../local_interrupts/local_interrupts Debug.launch | 59 +++++ .../local_interrupts OpenOCD.launch | 59 ----- FreedomStudio/E31FPGA/local_interrupts/openocd.cfg | 31 --- .../sifive-coreplexip-e31-arty.cfg | 31 +++ .../E31FPGA/performance_counters/.cproject | 217 ++++++++++++++++++ .../E31FPGA/performance_counters/.gitignore | 1 + .../E31FPGA/performance_counters/.project | 233 +++++++++++++++++++ .../performance_counters Debug.launch | 59 +++++ .../sifive-coreplexip-e31-arty.cfg | 31 +++ FreedomStudio/E31FPGA/wrap-E31FPGA/.cproject | 219 +++++++++++------- FreedomStudio/E31FPGA/wrap-E31FPGA/.project | 100 +++++++++ FreedomStudio/E51FPGA/coreplexip_welcome/.cproject | 233 +++++++++++-------- FreedomStudio/E51FPGA/coreplexip_welcome/.project | 30 +-- .../coreplexip_welcome Debug.launch | 59 ----- .../coreplexip_welcome OpenOCD.launch | 59 +++++ FreedomStudio/E51FPGA/coreplexip_welcome/link.lds | 167 -------------- .../E51FPGA/coreplexip_welcome/openocd.cfg | 31 --- .../sifive-coreplexip-e51-arty.cfg | 31 +++ FreedomStudio/E51FPGA/demo_gpio/.cproject | 171 -------------- FreedomStudio/E51FPGA/demo_gpio/.gitignore | 1 - FreedomStudio/E51FPGA/demo_gpio/.project | 228 ------------------- .../E51FPGA/demo_gpio/demo_gpio Debug.launch | 59 ----- FreedomStudio/E51FPGA/demo_gpio/link.lds | 167 -------------- FreedomStudio/E51FPGA/demo_gpio/openocd.cfg | 31 --- FreedomStudio/E51FPGA/global_interrupts/.cproject | 233 +++++++++++-------- FreedomStudio/E51FPGA/global_interrupts/.project | 30 +-- .../global_interrupts Debug.launch | 59 +++++ .../global_interrupts OpenOCD.launch | 59 ----- FreedomStudio/E51FPGA/global_interrupts/link.lds | 167 -------------- .../E51FPGA/global_interrupts/openocd.cfg | 31 --- .../sifive-coreplexip-e51-arty.cfg | 31 +++ FreedomStudio/E51FPGA/local_interrupts/.cproject | 233 +++++++++++-------- FreedomStudio/E51FPGA/local_interrupts/.project | 30 +-- FreedomStudio/E51FPGA/local_interrupts/link.lds | 167 -------------- .../local_interrupts/local_interrupts Debug.launch | 59 +++++ .../local_interrupts OpenOCD.launch | 59 ----- FreedomStudio/E51FPGA/local_interrupts/openocd.cfg | 31 --- .../sifive-coreplexip-e51-arty.cfg | 31 +++ .../E51FPGA/performance_counters/.cproject | 217 ++++++++++++++++++ .../E51FPGA/performance_counters/.gitignore | 1 + .../E51FPGA/performance_counters/.project | 228 +++++++++++++++++++ .../performance_counters Debug.launch | 59 +++++ .../sifive-coreplexip-e51-arty.cfg | 31 +++ FreedomStudio/E51FPGA/wrap-E51FPGA/.cproject | 216 +++++++++++------- FreedomStudio/E51FPGA/wrap-E51FPGA/.project | 100 +++++++++ FreedomStudio/HiFive1/demo_gpio/.DS_Store | Bin 6148 -> 0 bytes FreedomStudio/HiFive1/demo_gpio/.cproject | 232 +++++++++++-------- FreedomStudio/HiFive1/demo_gpio/.project | 10 - .../demo_gpio/.settings/language.settings.xml | 25 +++ .../HiFive1/demo_gpio/demo_gpio OpenOCD.launch | 50 ++--- FreedomStudio/HiFive1/demo_gpio/link.lds | 167 -------------- FreedomStudio/HiFive1/demo_gpio/openocd.cfg | 34 --- .../demo_gpio/sifive-freedom-e300-hifive1.cfg | 34 +++ FreedomStudio/HiFive1/hello/.DS_Store | Bin 6148 -> 0 bytes FreedomStudio/HiFive1/hello/.cproject | 227 ++++++++++++------- FreedomStudio/HiFive1/hello/.project | 10 - .../HiFive1/hello/.settings/language.settings.xml | 25 +++ FreedomStudio/HiFive1/hello/hello Debug.launch | 59 +++++ FreedomStudio/HiFive1/hello/hello OpenOCD.launch | 59 ----- FreedomStudio/HiFive1/hello/link.lds | 167 -------------- FreedomStudio/HiFive1/hello/openocd.cfg | 34 --- .../HiFive1/hello/sifive-freedom-e300-hifive1.cfg | 34 +++ FreedomStudio/HiFive1/led_fade/.cproject | 229 ++++++++++++------- FreedomStudio/HiFive1/led_fade/.project | 10 - .../led_fade/.settings/language.settings.xml | 25 +++ .../HiFive1/led_fade/led_fade Debug.launch | 59 +++++ .../HiFive1/led_fade/led_fade OpenOCD.launch | 59 ----- FreedomStudio/HiFive1/led_fade/link.lds | 167 -------------- FreedomStudio/HiFive1/led_fade/openocd.cfg | 34 --- .../led_fade/sifive-freedom-e300-hifive1.cfg | 34 +++ FreedomStudio/HiFive1/wrap-hifive1/.cproject | 214 +++++++++++------- .../wrap-hifive1/.settings/language.settings.xml | 25 +++ bsp/env/freedom-e300-arty/platform.h | 1 - software/led_fade/.unsupported-boards | 1 + 102 files changed, 4221 insertions(+), 5414 deletions(-) delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/.cproject delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/.gitignore delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/.project delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/coreplexio_welcome OpenOCD.launch delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/link.lds delete mode 100644 FreedomStudio/E31FPGA/coreplexio_welcome/openocd.cfg create mode 100644 FreedomStudio/E31FPGA/coreplexip_welcome/.cproject create mode 100644 FreedomStudio/E31FPGA/coreplexip_welcome/.gitignore create mode 100644 FreedomStudio/E31FPGA/coreplexip_welcome/.project create mode 100644 FreedomStudio/E31FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch create mode 100644 FreedomStudio/E31FPGA/coreplexip_welcome/sifive-coreplexip-e31-arty.cfg delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/.cproject delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/.gitignore delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/.project delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/demo_gpio OpenOCD.launch delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/link.lds delete mode 100644 FreedomStudio/E31FPGA/demo_gpio/openocd.cfg create mode 100644 FreedomStudio/E31FPGA/global_interrupts/global_interrupts Debug.launch delete mode 100644 FreedomStudio/E31FPGA/global_interrupts/global_interrupts OpenOCD.launch delete mode 100644 FreedomStudio/E31FPGA/global_interrupts/global_interrupts.c delete mode 100644 FreedomStudio/E31FPGA/global_interrupts/link.lds delete mode 100644 FreedomStudio/E31FPGA/global_interrupts/openocd.cfg create mode 100644 FreedomStudio/E31FPGA/global_interrupts/sifive-coreplexip-e31-arty.cfg delete mode 100644 FreedomStudio/E31FPGA/local_interrupts/link.lds create mode 100644 FreedomStudio/E31FPGA/local_interrupts/local_interrupts Debug.launch delete mode 100644 FreedomStudio/E31FPGA/local_interrupts/local_interrupts OpenOCD.launch delete mode 100644 FreedomStudio/E31FPGA/local_interrupts/openocd.cfg create mode 100644 FreedomStudio/E31FPGA/local_interrupts/sifive-coreplexip-e31-arty.cfg create mode 100644 FreedomStudio/E31FPGA/performance_counters/.cproject create mode 100644 FreedomStudio/E31FPGA/performance_counters/.gitignore create mode 100644 FreedomStudio/E31FPGA/performance_counters/.project create mode 100644 FreedomStudio/E31FPGA/performance_counters/performance_counters Debug.launch create mode 100644 FreedomStudio/E31FPGA/performance_counters/sifive-coreplexip-e31-arty.cfg delete mode 100644 FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch create mode 100644 FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome OpenOCD.launch delete mode 100644 FreedomStudio/E51FPGA/coreplexip_welcome/link.lds delete mode 100644 FreedomStudio/E51FPGA/coreplexip_welcome/openocd.cfg create mode 100644 FreedomStudio/E51FPGA/coreplexip_welcome/sifive-coreplexip-e51-arty.cfg delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/.cproject delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/.gitignore delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/.project delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/demo_gpio Debug.launch delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/link.lds delete mode 100644 FreedomStudio/E51FPGA/demo_gpio/openocd.cfg create mode 100644 FreedomStudio/E51FPGA/global_interrupts/global_interrupts Debug.launch delete mode 100644 FreedomStudio/E51FPGA/global_interrupts/global_interrupts OpenOCD.launch delete mode 100644 FreedomStudio/E51FPGA/global_interrupts/link.lds delete mode 100644 FreedomStudio/E51FPGA/global_interrupts/openocd.cfg create mode 100644 FreedomStudio/E51FPGA/global_interrupts/sifive-coreplexip-e51-arty.cfg delete mode 100644 FreedomStudio/E51FPGA/local_interrupts/link.lds create mode 100644 FreedomStudio/E51FPGA/local_interrupts/local_interrupts Debug.launch delete mode 100644 FreedomStudio/E51FPGA/local_interrupts/local_interrupts OpenOCD.launch delete mode 100644 FreedomStudio/E51FPGA/local_interrupts/openocd.cfg create mode 100644 FreedomStudio/E51FPGA/local_interrupts/sifive-coreplexip-e51-arty.cfg create mode 100644 FreedomStudio/E51FPGA/performance_counters/.cproject create mode 100644 FreedomStudio/E51FPGA/performance_counters/.gitignore create mode 100644 FreedomStudio/E51FPGA/performance_counters/.project create mode 100644 FreedomStudio/E51FPGA/performance_counters/performance_counters Debug.launch create mode 100644 FreedomStudio/E51FPGA/performance_counters/sifive-coreplexip-e51-arty.cfg delete mode 100644 FreedomStudio/HiFive1/demo_gpio/.DS_Store create mode 100644 FreedomStudio/HiFive1/demo_gpio/.settings/language.settings.xml delete mode 100644 FreedomStudio/HiFive1/demo_gpio/link.lds delete mode 100644 FreedomStudio/HiFive1/demo_gpio/openocd.cfg create mode 100644 FreedomStudio/HiFive1/demo_gpio/sifive-freedom-e300-hifive1.cfg delete mode 100644 FreedomStudio/HiFive1/hello/.DS_Store create mode 100644 FreedomStudio/HiFive1/hello/.settings/language.settings.xml create mode 100644 FreedomStudio/HiFive1/hello/hello Debug.launch delete mode 100644 FreedomStudio/HiFive1/hello/hello OpenOCD.launch delete mode 100644 FreedomStudio/HiFive1/hello/link.lds delete mode 100644 FreedomStudio/HiFive1/hello/openocd.cfg create mode 100644 FreedomStudio/HiFive1/hello/sifive-freedom-e300-hifive1.cfg create mode 100644 FreedomStudio/HiFive1/led_fade/.settings/language.settings.xml create mode 100644 FreedomStudio/HiFive1/led_fade/led_fade Debug.launch delete mode 100644 FreedomStudio/HiFive1/led_fade/led_fade OpenOCD.launch delete mode 100644 FreedomStudio/HiFive1/led_fade/link.lds delete mode 100644 FreedomStudio/HiFive1/led_fade/openocd.cfg create mode 100644 FreedomStudio/HiFive1/led_fade/sifive-freedom-e300-hifive1.cfg create mode 100644 FreedomStudio/HiFive1/wrap-hifive1/.settings/language.settings.xml diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/.cproject b/FreedomStudio/E31FPGA/coreplexio_welcome/.cproject deleted file mode 100644 index 86abe57..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/.cproject +++ /dev/null @@ -1,166 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/.gitignore b/FreedomStudio/E31FPGA/coreplexio_welcome/.gitignore deleted file mode 100644 index 3df573f..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/.gitignore +++ /dev/null @@ -1 +0,0 @@ -/Debug/ diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/.project b/FreedomStudio/E31FPGA/coreplexio_welcome/.project deleted file mode 100644 index 77cdd47..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/.project +++ /dev/null @@ -1,228 +0,0 @@ - - - coreplexio_welcome - - - - - - org.eclipse.cdt.managedbuilder.core.genmakebuilder - clean,full,incremental, - - - - - org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder - full,incremental, - - - - - - org.eclipse.cdt.core.cnature - org.eclipse.cdt.managedbuilder.core.managedBuildNature - org.eclipse.cdt.managedbuilder.core.ScannerConfigNature - - - - bsp - 2 - virtual:/virtual - - - coreplexip_welcome.c - 1 - PARENT-3-PROJECT_LOC/software/coreplexip_welcome/coreplexip_welcome.c - - - bsp/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/.DS_Store - - - bsp/drivers - 2 - virtual:/virtual - - - bsp/env - 2 - virtual:/virtual - - - bsp/include - 2 - virtual:/virtual - - - bsp/drivers/fe300prci - 2 - virtual:/virtual - - - bsp/drivers/plic - 2 - virtual:/virtual - - - bsp/env/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/env/.DS_Store - - - bsp/env/coreplexip-e31-arty - 2 - virtual:/virtual - - - bsp/env/encoding.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/encoding.h - - - bsp/env/entry.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/entry.S - - - bsp/env/hifive1.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/hifive1.h - - - bsp/env/start.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/start.S - - - bsp/include/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/.DS_Store - - - bsp/include/sifive - 2 - virtual:/virtual - - - bsp/drivers/fe300prci/fe300prci_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c - - - bsp/drivers/fe300prci/fe300prci_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h - - - bsp/drivers/plic/plic_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c - - - bsp/drivers/plic/plic_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h - - - bsp/env/coreplexip-e31-arty/init.c - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/init.c - - - bsp/env/coreplexip-e31-arty/link.lds - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/link.lds - - - bsp/env/coreplexip-e31-arty/openocd.cfg - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/openocd.cfg - - - bsp/env/coreplexip-e31-arty/platform.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/platform.h - - - bsp/env/coreplexip-e31-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - - - bsp/include/sifive/bits.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h - - - bsp/include/sifive/const.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h - - - bsp/include/sifive/devices - 2 - virtual:/virtual - - - bsp/include/sifive/sections.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h - - - bsp/include/sifive/smp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h - - - bsp/include/sifive/devices/aon.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h - - - bsp/include/sifive/devices/clint.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h - - - bsp/include/sifive/devices/gpio.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h - - - bsp/include/sifive/devices/otp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h - - - bsp/include/sifive/devices/plic.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h - - - bsp/include/sifive/devices/prci.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h - - - bsp/include/sifive/devices/pwm.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h - - - bsp/include/sifive/devices/spi.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h - - - bsp/include/sifive/devices/uart.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h - - - diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/coreplexio_welcome OpenOCD.launch b/FreedomStudio/E31FPGA/coreplexio_welcome/coreplexio_welcome OpenOCD.launch deleted file mode 100644 index 0320584..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/coreplexio_welcome OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/link.lds b/FreedomStudio/E31FPGA/coreplexio_welcome/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E31FPGA/coreplexio_welcome/openocd.cfg b/FreedomStudio/E31FPGA/coreplexio_welcome/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E31FPGA/coreplexio_welcome/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/coreplexip_welcome/.cproject b/FreedomStudio/E31FPGA/coreplexip_welcome/.cproject new file mode 100644 index 0000000..138e38b --- /dev/null +++ b/FreedomStudio/E31FPGA/coreplexip_welcome/.cproject @@ -0,0 +1,219 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/coreplexip_welcome/.gitignore b/FreedomStudio/E31FPGA/coreplexip_welcome/.gitignore new file mode 100644 index 0000000..3df573f --- /dev/null +++ b/FreedomStudio/E31FPGA/coreplexip_welcome/.gitignore @@ -0,0 +1 @@ +/Debug/ diff --git a/FreedomStudio/E31FPGA/coreplexip_welcome/.project b/FreedomStudio/E31FPGA/coreplexip_welcome/.project new file mode 100644 index 0000000..56e0a73 --- /dev/null +++ b/FreedomStudio/E31FPGA/coreplexip_welcome/.project @@ -0,0 +1,233 @@ + + + coreplexip_welcome + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + + + + bsp + 2 + virtual:/virtual + + + coreplexip_welcome.c + 1 + PARENT-3-PROJECT_LOC/software/coreplexip_welcome/coreplexip_welcome.c + + + bsp/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/.DS_Store + + + bsp/drivers + 2 + virtual:/virtual + + + bsp/env + 2 + virtual:/virtual + + + bsp/include + 2 + virtual:/virtual + + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + + + bsp/drivers/fe300prci + 2 + virtual:/virtual + + + bsp/drivers/plic + 2 + virtual:/virtual + + + bsp/env/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + + + bsp/env/coreplexip-e31-arty + 2 + virtual:/virtual + + + bsp/env/encoding.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/encoding.h + + + bsp/env/entry.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/entry.S + + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + + + bsp/env/start.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/start.S + + + bsp/include/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/include/.DS_Store + + + bsp/include/sifive + 2 + virtual:/virtual + + + bsp/drivers/fe300prci/fe300prci_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c + + + bsp/drivers/fe300prci/fe300prci_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h + + + bsp/drivers/plic/plic_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c + + + bsp/drivers/plic/plic_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h + + + bsp/env/coreplexip-e31-arty/init.c + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/init.c + + + bsp/env/coreplexip-e31-arty/link.lds + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/link.lds + + + bsp/env/coreplexip-e31-arty/openocd.cfg + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/openocd.cfg + + + bsp/env/coreplexip-e31-arty/platform.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/platform.h + + + bsp/env/coreplexip-e31-arty/settings.mk + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk + + + bsp/include/sifive/bits.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h + + + bsp/include/sifive/const.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h + + + bsp/include/sifive/devices + 2 + virtual:/virtual + + + bsp/include/sifive/sections.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h + + + bsp/include/sifive/smp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h + + + bsp/include/sifive/devices/aon.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h + + + bsp/include/sifive/devices/clint.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h + + + bsp/include/sifive/devices/gpio.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h + + + bsp/include/sifive/devices/otp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h + + + bsp/include/sifive/devices/plic.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h + + + bsp/include/sifive/devices/prci.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h + + + bsp/include/sifive/devices/pwm.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h + + + bsp/include/sifive/devices/spi.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h + + + bsp/include/sifive/devices/uart.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h + + + diff --git a/FreedomStudio/E31FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch b/FreedomStudio/E31FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch new file mode 100644 index 0000000..0bad4ba --- /dev/null +++ b/FreedomStudio/E31FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/coreplexip_welcome/sifive-coreplexip-e31-arty.cfg b/FreedomStudio/E31FPGA/coreplexip_welcome/sifive-coreplexip-e31-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E31FPGA/coreplexip_welcome/sifive-coreplexip-e31-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/demo_gpio/.cproject b/FreedomStudio/E31FPGA/demo_gpio/.cproject deleted file mode 100644 index 552d11b..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/.cproject +++ /dev/null @@ -1,165 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/demo_gpio/.gitignore b/FreedomStudio/E31FPGA/demo_gpio/.gitignore deleted file mode 100644 index 3df573f..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/.gitignore +++ /dev/null @@ -1 +0,0 @@ -/Debug/ diff --git a/FreedomStudio/E31FPGA/demo_gpio/.project b/FreedomStudio/E31FPGA/demo_gpio/.project deleted file mode 100644 index 5f5a4f9..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/.project +++ /dev/null @@ -1,228 +0,0 @@ - - - demo_gpio - - - - - - org.eclipse.cdt.managedbuilder.core.genmakebuilder - clean,full,incremental, - - - - - org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder - full,incremental, - - - - - - org.eclipse.cdt.core.cnature - org.eclipse.cdt.managedbuilder.core.managedBuildNature - org.eclipse.cdt.managedbuilder.core.ScannerConfigNature - - - - bsp - 2 - virtual:/virtual - - - demo_gpio.c - 1 - PARENT-3-PROJECT_LOC/software/demo_gpio/demo_gpio.c - - - bsp/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/.DS_Store - - - bsp/drivers - 2 - virtual:/virtual - - - bsp/env - 2 - virtual:/virtual - - - bsp/include - 2 - virtual:/virtual - - - bsp/drivers/fe300prci - 2 - virtual:/virtual - - - bsp/drivers/plic - 2 - virtual:/virtual - - - bsp/env/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/env/.DS_Store - - - bsp/env/coreplexip-e31-arty - 2 - virtual:/virtual - - - bsp/env/encoding.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/encoding.h - - - bsp/env/entry.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/entry.S - - - bsp/env/hifive1.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/hifive1.h - - - bsp/env/start.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/start.S - - - bsp/include/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/.DS_Store - - - bsp/include/sifive - 2 - virtual:/virtual - - - bsp/drivers/fe300prci/fe300prci_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c - - - bsp/drivers/fe300prci/fe300prci_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h - - - bsp/drivers/plic/plic_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c - - - bsp/drivers/plic/plic_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h - - - bsp/env/coreplexip-e31-arty/init.c - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/init.c - - - bsp/env/coreplexip-e31-arty/link.lds - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/link.lds - - - bsp/env/coreplexip-e31-arty/openocd.cfg - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/openocd.cfg - - - bsp/env/coreplexip-e31-arty/platform.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/platform.h - - - bsp/env/coreplexip-e31-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - - - bsp/include/sifive/bits.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h - - - bsp/include/sifive/const.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h - - - bsp/include/sifive/devices - 2 - virtual:/virtual - - - bsp/include/sifive/sections.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h - - - bsp/include/sifive/smp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h - - - bsp/include/sifive/devices/aon.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h - - - bsp/include/sifive/devices/clint.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h - - - bsp/include/sifive/devices/gpio.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h - - - bsp/include/sifive/devices/otp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h - - - bsp/include/sifive/devices/plic.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h - - - bsp/include/sifive/devices/prci.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h - - - bsp/include/sifive/devices/pwm.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h - - - bsp/include/sifive/devices/spi.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h - - - bsp/include/sifive/devices/uart.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h - - - diff --git a/FreedomStudio/E31FPGA/demo_gpio/demo_gpio OpenOCD.launch b/FreedomStudio/E31FPGA/demo_gpio/demo_gpio OpenOCD.launch deleted file mode 100644 index 779a74f..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/demo_gpio OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/demo_gpio/link.lds b/FreedomStudio/E31FPGA/demo_gpio/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E31FPGA/demo_gpio/openocd.cfg b/FreedomStudio/E31FPGA/demo_gpio/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E31FPGA/demo_gpio/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/global_interrupts/.cproject b/FreedomStudio/E31FPGA/global_interrupts/.cproject index 2dd3494..eb2ebc7 100644 --- a/FreedomStudio/E31FPGA/global_interrupts/.cproject +++ b/FreedomStudio/E31FPGA/global_interrupts/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,83 +14,116 @@ - - - - - - + + - + @@ -99,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/E31FPGA/global_interrupts/.project b/FreedomStudio/E31FPGA/global_interrupts/.project index 6335740..17a6959 100644 --- a/FreedomStudio/E31FPGA/global_interrupts/.project +++ b/FreedomStudio/E31FPGA/global_interrupts/.project @@ -29,6 +29,11 @@ 2 virtual:/virtual + + global_interrupts.c + 1 + PARENT-3-PROJECT_LOC/software/global_interrupts/global_interrupts.c + bsp/.DS_Store 1 @@ -50,9 +55,9 @@ virtual:/virtual - bsp/libwrap - 2 - virtual:/virtual + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store bsp/drivers/fe300prci @@ -109,26 +114,6 @@ 2 virtual:/virtual - - bsp/libwrap/libwrap.mk - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/libwrap.mk - - - bsp/libwrap/misc - 2 - virtual:/virtual - - - bsp/libwrap/stdlib - 2 - virtual:/virtual - - - bsp/libwrap/sys - 2 - virtual:/virtual - bsp/drivers/fe300prci/fe300prci_driver.c 1 @@ -174,11 +159,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 @@ -204,116 +184,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h - - bsp/libwrap/misc/write_hex.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/misc/write_hex.c - - - bsp/libwrap/stdlib/malloc.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/stdlib/malloc.c - - - bsp/libwrap/sys/_exit.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/_exit.c - - - bsp/libwrap/sys/close.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/close.c - - - bsp/libwrap/sys/execve.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/execve.c - - - bsp/libwrap/sys/fork.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fork.c - - - bsp/libwrap/sys/fstat.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fstat.c - - - bsp/libwrap/sys/getpid.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/getpid.c - - - bsp/libwrap/sys/isatty.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/isatty.c - - - bsp/libwrap/sys/kill.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/kill.c - - - bsp/libwrap/sys/link.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/link.c - - - bsp/libwrap/sys/lseek.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/lseek.c - - - bsp/libwrap/sys/open.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/open.c - - - bsp/libwrap/sys/openat.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/openat.c - - - bsp/libwrap/sys/read.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/read.c - - - bsp/libwrap/sys/sbrk.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/sbrk.c - - - bsp/libwrap/sys/stat.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stat.c - - - bsp/libwrap/sys/stub.h - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stub.h - - - bsp/libwrap/sys/times.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/times.c - - - bsp/libwrap/sys/unlink.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/unlink.c - - - bsp/libwrap/sys/wait.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/wait.c - - - bsp/libwrap/sys/write.c - 1 - PARENT-3-PROJECT_LOC/bsp/libwrap/sys/write.c - bsp/include/sifive/devices/aon.h 1 diff --git a/FreedomStudio/E31FPGA/global_interrupts/global_interrupts Debug.launch b/FreedomStudio/E31FPGA/global_interrupts/global_interrupts Debug.launch new file mode 100644 index 0000000..e197508 --- /dev/null +++ b/FreedomStudio/E31FPGA/global_interrupts/global_interrupts Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/global_interrupts/global_interrupts OpenOCD.launch b/FreedomStudio/E31FPGA/global_interrupts/global_interrupts OpenOCD.launch deleted file mode 100644 index 689a8cb..0000000 --- a/FreedomStudio/E31FPGA/global_interrupts/global_interrupts OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/global_interrupts/global_interrupts.c b/FreedomStudio/E31FPGA/global_interrupts/global_interrupts.c deleted file mode 100644 index 4d3a554..0000000 --- a/FreedomStudio/E31FPGA/global_interrupts/global_interrupts.c +++ /dev/null @@ -1,250 +0,0 @@ -// See LICENSE for license details. - -#include -#include -#include "platform.h" -#include -#include "plic/plic_driver.h" -#include "encoding.h" -#include - -#ifndef _SIFIVE_COREPLEXIP_ARTY_H -#error 'global_interrupts' demo only supported for Coreplex IP Eval Kits -#endif - -// Global Instance data for the PLIC -// for use by the PLIC Driver. -plic_instance_t g_plic; - -// Flag for state -int g_switch1Wins; - -// Debounce counter (PWM can't go slow enough) -int g_debounce; - -void debounce(); - -// Structures for registering different interrupt handlers -// for different parts of the application. -typedef void (*interrupt_function_ptr_t) (void); - -// See bsp/env//init.c for how this -// interrupt vector is used. -interrupt_function_ptr_t localISR[32]; - -interrupt_function_ptr_t g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS]; - -void set_timer() { - - volatile uint64_t * mtime = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIME); - volatile uint64_t * mtimecmp = (uint64_t*) (CLINT_CTRL_ADDR + CLINT_MTIMECMP); - uint64_t now = *mtime; - uint64_t then = now + 10*RTC_FREQ; - *mtimecmp = then; - -} - -/*Entry Point for Machine Timer Interrupt Handler*/ -void mti_isr(){ - - if (g_switch1Wins) { - printf("#### Giving Switch 1 Priority for 10 seconds ####\n"); - // All other things being equal, lower IDs have - // higher priority. We have already set - // Switch 1 to priority 2 - // in the setup, so by giving these equal priority Switch 1 will win. - PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 2); - } else { - printf("**** Giving Switch 2 Priority for 10 seconds ****\n"); - // By setting Switch 2 a higher integer priority, it will win over switch 1. - PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 3); - } - g_switch1Wins ^= 0x1; - - set_timer(); - -} - -/*Entry Point for PLIC Interrupt Handler*/ -void mei_isr(){ - plic_source int_num = PLIC_claim_interrupt(&g_plic); - if ((int_num >=1 ) && (int_num < PLIC_NUM_INTERRUPTS)) { - g_ext_interrupt_handlers[int_num](); - } - else { - exit(1 + (uintptr_t) int_num); - } - PLIC_complete_interrupt(&g_plic, int_num); -} - -const char * instructions_msg = " \ -\n\ - SIFIVE, INC.\n\ -E31/E51 Coreplex IP Eval Kit 'global_interrupts' demo.\n\ -\n\ -Switches 1 and 2 are enabled as External Global Interrupts \n\ -(they don't go through the PLIC). You an observe priorities.\n\ -Priorities invert every few seconds, which is driven by the \n\ -PWM0 global interrupt. \n\ -\n"; - -void print_instructions() { - - write (STDOUT_FILENO, instructions_msg, strlen(instructions_msg)); - -} - -void invalid_global_isr() { - printf("Unexpected global interrupt!\n"); -} - -void invalid_local_isr() { - printf ("Unexpected local interrupt!\n"); -} - -void switch_1_handler() { - - printf("Switch 1 is on! Even if Switch 2 is on, Switch 1 must have higher priority right now.\n"); - - // Set Green LED - GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ; - GPIO_REG(GPIO_OUTPUT_VAL) &= ~((0x1<< RED_LED_OFFSET)); - - debounce(); - -} - -void switch_2_handler() { - printf("Switch 2 is on! Even if Switch 1 is on, Switch 2 must have higher priority right now.\n"); - - // Set RED LED - GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1 << GREEN_LED_OFFSET) ; - GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1<< RED_LED_OFFSET); - - debounce(); -} - -// We use PWM 0 as a -// timer interrupt for debouncing. - -void pwm_0_handler() { - - - if (g_debounce == 0) { - printf(" Done debouncing.\n"); - - //Lower the threshold s.t. the switches can hit. - PLIC_set_threshold(&g_plic, 1); - - // Clear the PWM interrupt - PWM0_REG(PWM_CFG) = 0; - - } else { - // Keep waiting - g_debounce --; - // This clears out the interrupt and re-arms the timer. - PWM0_REG(PWM_CFG) = ((PWM_CFG_ONESHOT) | (PWM_CFG_ZEROCMP)| 0x7 | (PWM_CFG_STICKY)); - - } - -} - -void debounce(int local_interrupt_num) { - - printf(" Starting a debounce.\n"); - - g_debounce = 600; - - // This clears out the interrupt and re-arms the timer. - PWM0_REG(PWM_CFG) = ((PWM_CFG_ONESHOT) | (PWM_CFG_ZEROCMP)| 0x7 | (PWM_CFG_STICKY)); - - // Set the threshold high enough that the - // switches won't cause the interrupt to fire, - // only the PWM or timer interrupts. - PLIC_set_threshold(&g_plic, 4); - -} - -int main(int argc, char **argv) -{ - - for (int gisr = 0; gisr < PLIC_NUM_INTERRUPTS; gisr++){ - g_ext_interrupt_handlers[PLIC_NUM_INTERRUPTS] = invalid_global_isr; - } - g_ext_interrupt_handlers[PWM0_INT_BASE + 0] = pwm_0_handler; - g_ext_interrupt_handlers[INT_EXT_DEVICE_SW_1] = switch_1_handler; - g_ext_interrupt_handlers[INT_EXT_DEVICE_SW_2] = switch_2_handler; - - for (int lisr = 0; lisr < 32; lisr++){ - localISR[lisr] = invalid_local_isr; - } - - localISR[IRQ_M_TIMER] = mti_isr; - localISR[IRQ_M_EXT] = mei_isr; - - print_instructions(); - - // Set up RGB LEDs for a visual. - - GPIO_REG(GPIO_OUTPUT_EN) |= ((0x1<< RED_LED_OFFSET)| (0x1<< GREEN_LED_OFFSET)); - GPIO_REG(GPIO_OUTPUT_VAL) |= (0x1 << GREEN_LED_OFFSET) ; - GPIO_REG(GPIO_OUTPUT_VAL) &= ~(0x1<< RED_LED_OFFSET); - - /************************************************************************** - * Set up the PLIC - * - *************************************************************************/ - PLIC_init(&g_plic, - PLIC_CTRL_ADDR, - PLIC_NUM_INTERRUPTS, - PLIC_NUM_PRIORITIES); - - /************************************************************************** - * Give Switch 1 and Switch 2 Equal priority of 2. - * - *************************************************************************/ - - PLIC_enable_interrupt (&g_plic, PWM0_INT_BASE + 0); - PLIC_enable_interrupt (&g_plic, INT_EXT_DEVICE_SW_1); - PLIC_enable_interrupt (&g_plic, INT_EXT_DEVICE_SW_2); - - // PWM always beats the switches, because we use it - // as a debouncer, and we lower the threshold - // to do so. - - PWM0_REG(PWM_CFG) = 0; - - // Make sure people aren't blinded by LEDs connected here. - PWM0_REG(PWM_CMP0) = 0xFE; - PWM0_REG(PWM_CMP1) = 0xFF; - PWM0_REG(PWM_CMP2) = 0xFF; - PWM0_REG(PWM_CMP3) = 0xFF; - PLIC_set_priority(&g_plic, PWM0_INT_BASE + 0 , 5); - - // Start the switches out at the same priority. Switch1 - // would win. - PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_1, 2); - PLIC_set_priority(&g_plic, INT_EXT_DEVICE_SW_2, 2); - - // Set up machine timer interrupt. Every few seconds it - // will invert the switch priorities. - set_timer(); - - // Enable timer interrupts. - set_csr(mie, MIP_MTIP); - - // Enable Global (PLIC) interrupts. - set_csr(mie, MIP_MEIP); - - g_switch1Wins = 1; - - // Enable all interrupts - set_csr(mstatus, MSTATUS_MIE); - - while(1){ - asm volatile ("wfi"); - } - - return 0; - -} diff --git a/FreedomStudio/E31FPGA/global_interrupts/link.lds b/FreedomStudio/E31FPGA/global_interrupts/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E31FPGA/global_interrupts/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E31FPGA/global_interrupts/openocd.cfg b/FreedomStudio/E31FPGA/global_interrupts/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E31FPGA/global_interrupts/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/global_interrupts/sifive-coreplexip-e31-arty.cfg b/FreedomStudio/E31FPGA/global_interrupts/sifive-coreplexip-e31-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E31FPGA/global_interrupts/sifive-coreplexip-e31-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/local_interrupts/.cproject b/FreedomStudio/E31FPGA/local_interrupts/.cproject index 0de2dd8..eb2ebc7 100644 --- a/FreedomStudio/E31FPGA/local_interrupts/.cproject +++ b/FreedomStudio/E31FPGA/local_interrupts/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,85 +14,116 @@ - - - - - - + + - + @@ -101,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/E31FPGA/local_interrupts/.project b/FreedomStudio/E31FPGA/local_interrupts/.project index b32d91c..4e23341 100644 --- a/FreedomStudio/E31FPGA/local_interrupts/.project +++ b/FreedomStudio/E31FPGA/local_interrupts/.project @@ -54,6 +54,11 @@ 2 virtual:/virtual + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + bsp/drivers/fe300prci 2 @@ -69,6 +74,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + bsp/env/coreplexip-e31-arty 2 @@ -149,11 +159,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/E31FPGA/local_interrupts/link.lds b/FreedomStudio/E31FPGA/local_interrupts/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E31FPGA/local_interrupts/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E31FPGA/local_interrupts/local_interrupts Debug.launch b/FreedomStudio/E31FPGA/local_interrupts/local_interrupts Debug.launch new file mode 100644 index 0000000..192ffc6 --- /dev/null +++ b/FreedomStudio/E31FPGA/local_interrupts/local_interrupts Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/local_interrupts/local_interrupts OpenOCD.launch b/FreedomStudio/E31FPGA/local_interrupts/local_interrupts OpenOCD.launch deleted file mode 100644 index 0d1a1df..0000000 --- a/FreedomStudio/E31FPGA/local_interrupts/local_interrupts OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E31FPGA/local_interrupts/openocd.cfg b/FreedomStudio/E31FPGA/local_interrupts/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E31FPGA/local_interrupts/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/local_interrupts/sifive-coreplexip-e31-arty.cfg b/FreedomStudio/E31FPGA/local_interrupts/sifive-coreplexip-e31-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E31FPGA/local_interrupts/sifive-coreplexip-e31-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/performance_counters/.cproject b/FreedomStudio/E31FPGA/performance_counters/.cproject new file mode 100644 index 0000000..9b1ceb4 --- /dev/null +++ b/FreedomStudio/E31FPGA/performance_counters/.cproject @@ -0,0 +1,217 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/performance_counters/.gitignore b/FreedomStudio/E31FPGA/performance_counters/.gitignore new file mode 100644 index 0000000..3df573f --- /dev/null +++ b/FreedomStudio/E31FPGA/performance_counters/.gitignore @@ -0,0 +1 @@ +/Debug/ diff --git a/FreedomStudio/E31FPGA/performance_counters/.project b/FreedomStudio/E31FPGA/performance_counters/.project new file mode 100644 index 0000000..510a819 --- /dev/null +++ b/FreedomStudio/E31FPGA/performance_counters/.project @@ -0,0 +1,233 @@ + + + performance_counters + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + + + + bsp + 2 + virtual:/virtual + + + performance_counters.c + 1 + PARENT-3-PROJECT_LOC/software/performance_counters/performance_counters.c + + + bsp/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/.DS_Store + + + bsp/drivers + 2 + virtual:/virtual + + + bsp/env + 2 + virtual:/virtual + + + bsp/include + 2 + virtual:/virtual + + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + + + bsp/drivers/fe300prci + 2 + virtual:/virtual + + + bsp/drivers/plic + 2 + virtual:/virtual + + + bsp/env/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + + + bsp/env/coreplexip-e31-arty + 2 + virtual:/virtual + + + bsp/env/encoding.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/encoding.h + + + bsp/env/entry.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/entry.S + + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + + + bsp/env/start.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/start.S + + + bsp/include/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/include/.DS_Store + + + bsp/include/sifive + 2 + virtual:/virtual + + + bsp/drivers/fe300prci/fe300prci_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c + + + bsp/drivers/fe300prci/fe300prci_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h + + + bsp/drivers/plic/plic_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c + + + bsp/drivers/plic/plic_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h + + + bsp/env/coreplexip-e31-arty/init.c + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/init.c + + + bsp/env/coreplexip-e31-arty/link.lds + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/link.lds + + + bsp/env/coreplexip-e31-arty/openocd.cfg + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/openocd.cfg + + + bsp/env/coreplexip-e31-arty/platform.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/platform.h + + + bsp/env/coreplexip-e31-arty/settings.mk + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e31-arty/settings.mk + + + bsp/include/sifive/bits.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h + + + bsp/include/sifive/const.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h + + + bsp/include/sifive/devices + 2 + virtual:/virtual + + + bsp/include/sifive/sections.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h + + + bsp/include/sifive/smp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h + + + bsp/include/sifive/devices/aon.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h + + + bsp/include/sifive/devices/clint.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h + + + bsp/include/sifive/devices/gpio.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h + + + bsp/include/sifive/devices/otp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h + + + bsp/include/sifive/devices/plic.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h + + + bsp/include/sifive/devices/prci.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h + + + bsp/include/sifive/devices/pwm.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h + + + bsp/include/sifive/devices/spi.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h + + + bsp/include/sifive/devices/uart.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h + + + diff --git a/FreedomStudio/E31FPGA/performance_counters/performance_counters Debug.launch b/FreedomStudio/E31FPGA/performance_counters/performance_counters Debug.launch new file mode 100644 index 0000000..b747520 --- /dev/null +++ b/FreedomStudio/E31FPGA/performance_counters/performance_counters Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E31FPGA/performance_counters/sifive-coreplexip-e31-arty.cfg b/FreedomStudio/E31FPGA/performance_counters/sifive-coreplexip-e31-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E31FPGA/performance_counters/sifive-coreplexip-e31-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E31FPGA/wrap-E31FPGA/.cproject b/FreedomStudio/E31FPGA/wrap-E31FPGA/.cproject index 5713b29..baabe6e 100644 --- a/FreedomStudio/E31FPGA/wrap-E31FPGA/.cproject +++ b/FreedomStudio/E31FPGA/wrap-E31FPGA/.cproject @@ -1,11 +1,17 @@ - - - + + + + + + + + + - + @@ -14,62 +20,89 @@ - - - - - - - + + + + + + + + + - + @@ -78,33 +111,56 @@ - - - - - + - - - - - + + - - - - - + + + + + + + + + + - diff --git a/FreedomStudio/E31FPGA/wrap-E31FPGA/.project b/FreedomStudio/E31FPGA/wrap-E31FPGA/.project index 47109aa..8fd5136 100644 --- a/FreedomStudio/E31FPGA/wrap-E31FPGA/.project +++ b/FreedomStudio/E31FPGA/wrap-E31FPGA/.project @@ -44,66 +44,131 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/misc/write_hex.c + + misc/write_hex.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/misc/write_hex.o + stdlib/malloc.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/stdlib/malloc.c + + stdlib/malloc.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/stdlib/malloc.o + sys/_exit.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/_exit.c + + sys/_exit.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/_exit.o + sys/close.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/close.c + + sys/close.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/close.o + sys/execve.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/execve.c + + sys/execve.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/execve.o + sys/fork.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fork.c + + sys/fork.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fork.o + sys/fstat.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fstat.c + + sys/fstat.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fstat.o + sys/getpid.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/getpid.c + + sys/getpid.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/getpid.o + sys/isatty.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/isatty.c + + sys/isatty.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/isatty.o + sys/kill.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/kill.c + + sys/kill.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/kill.o + sys/link.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/link.c + + sys/link.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/link.o + sys/lseek.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/lseek.c + + sys/lseek.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/lseek.o + sys/open.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/open.c + + sys/open.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/open.o + sys/openat.c 1 @@ -114,16 +179,31 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/read.c + + sys/read.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/read.o + sys/sbrk.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/sbrk.c + + sys/sbrk.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/sbrk.o + sys/stat.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stat.c + + sys/stat.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stat.o + sys/stub.h 1 @@ -134,20 +214,40 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/times.c + + sys/times.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/times.o + sys/unlink.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/unlink.c + + sys/unlink.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/unlink.o + sys/wait.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/wait.c + + sys/wait.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/wait.o + sys/write.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/write.c + + sys/write.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/write.o + diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/.cproject b/FreedomStudio/E51FPGA/coreplexip_welcome/.cproject index 161bff2..871ebf4 100644 --- a/FreedomStudio/E51FPGA/coreplexip_welcome/.cproject +++ b/FreedomStudio/E51FPGA/coreplexip_welcome/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,87 +14,116 @@ - - - - - - + + - + @@ -103,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/.project b/FreedomStudio/E51FPGA/coreplexip_welcome/.project index 9c81c2c..11de5ea 100644 --- a/FreedomStudio/E51FPGA/coreplexip_welcome/.project +++ b/FreedomStudio/E51FPGA/coreplexip_welcome/.project @@ -54,6 +54,11 @@ 2 virtual:/virtual + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + bsp/drivers/fe300prci 2 @@ -69,6 +74,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + bsp/env/coreplexip-e51-arty 2 @@ -84,6 +94,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/entry.S + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + bsp/env/start.S 1 @@ -124,11 +139,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.c - - bsp/env/coreplexip-e51-arty/init.o - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.o - bsp/env/coreplexip-e51-arty/link.lds 1 @@ -144,16 +154,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/platform.h - - bsp/env/coreplexip-e51-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch b/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch deleted file mode 100644 index b209537..0000000 --- a/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome Debug.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome OpenOCD.launch b/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome OpenOCD.launch new file mode 100644 index 0000000..81a28b7 --- /dev/null +++ b/FreedomStudio/E51FPGA/coreplexip_welcome/coreplexip_welcome OpenOCD.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/link.lds b/FreedomStudio/E51FPGA/coreplexip_welcome/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E51FPGA/coreplexip_welcome/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/openocd.cfg b/FreedomStudio/E51FPGA/coreplexip_welcome/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E51FPGA/coreplexip_welcome/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/coreplexip_welcome/sifive-coreplexip-e51-arty.cfg b/FreedomStudio/E51FPGA/coreplexip_welcome/sifive-coreplexip-e51-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E51FPGA/coreplexip_welcome/sifive-coreplexip-e51-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/demo_gpio/.cproject b/FreedomStudio/E51FPGA/demo_gpio/.cproject deleted file mode 100644 index 36c90f8..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/.cproject +++ /dev/null @@ -1,171 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E51FPGA/demo_gpio/.gitignore b/FreedomStudio/E51FPGA/demo_gpio/.gitignore deleted file mode 100644 index 3df573f..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/.gitignore +++ /dev/null @@ -1 +0,0 @@ -/Debug/ diff --git a/FreedomStudio/E51FPGA/demo_gpio/.project b/FreedomStudio/E51FPGA/demo_gpio/.project deleted file mode 100644 index 4aebbeb..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/.project +++ /dev/null @@ -1,228 +0,0 @@ - - - demo_gpio - - - - - - org.eclipse.cdt.managedbuilder.core.genmakebuilder - clean,full,incremental, - - - - - org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder - full,incremental, - - - - - - org.eclipse.cdt.core.cnature - org.eclipse.cdt.managedbuilder.core.managedBuildNature - org.eclipse.cdt.managedbuilder.core.ScannerConfigNature - - - - bsp - 2 - virtual:/virtual - - - demo_gpio.c - 1 - PARENT-3-PROJECT_LOC/software/demo_gpio/demo_gpio.c - - - bsp/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/.DS_Store - - - bsp/drivers - 2 - virtual:/virtual - - - bsp/env - 2 - virtual:/virtual - - - bsp/include - 2 - virtual:/virtual - - - bsp/drivers/fe300prci - 2 - virtual:/virtual - - - bsp/drivers/plic - 2 - virtual:/virtual - - - bsp/env/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/env/.DS_Store - - - bsp/env/coreplexip-e51-arty - 2 - virtual:/virtual - - - bsp/env/encoding.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/encoding.h - - - bsp/env/entry.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/entry.S - - - bsp/env/start.S - 1 - PARENT-3-PROJECT_LOC/bsp/env/start.S - - - bsp/include/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/.DS_Store - - - bsp/include/sifive - 2 - virtual:/virtual - - - bsp/drivers/fe300prci/fe300prci_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c - - - bsp/drivers/fe300prci/fe300prci_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h - - - bsp/drivers/plic/plic_driver.c - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c - - - bsp/drivers/plic/plic_driver.h - 1 - PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h - - - bsp/env/coreplexip-e51-arty/init.c - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.c - - - bsp/env/coreplexip-e51-arty/init.o - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.o - - - bsp/env/coreplexip-e51-arty/link.lds - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/link.lds - - - bsp/env/coreplexip-e51-arty/openocd.cfg - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/openocd.cfg - - - bsp/env/coreplexip-e51-arty/platform.h - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/platform.h - - - bsp/env/coreplexip-e51-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - - - bsp/include/sifive/bits.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h - - - bsp/include/sifive/const.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h - - - bsp/include/sifive/devices - 2 - virtual:/virtual - - - bsp/include/sifive/sections.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h - - - bsp/include/sifive/smp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h - - - bsp/include/sifive/devices/aon.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h - - - bsp/include/sifive/devices/clint.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h - - - bsp/include/sifive/devices/gpio.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h - - - bsp/include/sifive/devices/otp.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h - - - bsp/include/sifive/devices/plic.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h - - - bsp/include/sifive/devices/prci.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h - - - bsp/include/sifive/devices/pwm.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h - - - bsp/include/sifive/devices/spi.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h - - - bsp/include/sifive/devices/uart.h - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h - - - diff --git a/FreedomStudio/E51FPGA/demo_gpio/demo_gpio Debug.launch b/FreedomStudio/E51FPGA/demo_gpio/demo_gpio Debug.launch deleted file mode 100644 index 492c56b..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/demo_gpio Debug.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E51FPGA/demo_gpio/link.lds b/FreedomStudio/E51FPGA/demo_gpio/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E51FPGA/demo_gpio/openocd.cfg b/FreedomStudio/E51FPGA/demo_gpio/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E51FPGA/demo_gpio/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/global_interrupts/.cproject b/FreedomStudio/E51FPGA/global_interrupts/.cproject index b0f6bb1..76d711f 100644 --- a/FreedomStudio/E51FPGA/global_interrupts/.cproject +++ b/FreedomStudio/E51FPGA/global_interrupts/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,87 +14,116 @@ - - - - - - + + - + @@ -103,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/E51FPGA/global_interrupts/.project b/FreedomStudio/E51FPGA/global_interrupts/.project index 4bc3558..60421c0 100644 --- a/FreedomStudio/E51FPGA/global_interrupts/.project +++ b/FreedomStudio/E51FPGA/global_interrupts/.project @@ -54,6 +54,11 @@ 2 virtual:/virtual + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + bsp/drivers/fe300prci 2 @@ -69,6 +74,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + bsp/env/coreplexip-e51-arty 2 @@ -84,6 +94,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/entry.S + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + bsp/env/start.S 1 @@ -124,11 +139,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.c - - bsp/env/coreplexip-e51-arty/init.o - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.o - bsp/env/coreplexip-e51-arty/link.lds 1 @@ -144,16 +154,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/platform.h - - bsp/env/coreplexip-e51-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/E51FPGA/global_interrupts/global_interrupts Debug.launch b/FreedomStudio/E51FPGA/global_interrupts/global_interrupts Debug.launch new file mode 100644 index 0000000..93d9f58 --- /dev/null +++ b/FreedomStudio/E51FPGA/global_interrupts/global_interrupts Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E51FPGA/global_interrupts/global_interrupts OpenOCD.launch b/FreedomStudio/E51FPGA/global_interrupts/global_interrupts OpenOCD.launch deleted file mode 100644 index fb3da26..0000000 --- a/FreedomStudio/E51FPGA/global_interrupts/global_interrupts OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E51FPGA/global_interrupts/link.lds b/FreedomStudio/E51FPGA/global_interrupts/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E51FPGA/global_interrupts/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E51FPGA/global_interrupts/openocd.cfg b/FreedomStudio/E51FPGA/global_interrupts/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E51FPGA/global_interrupts/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/global_interrupts/sifive-coreplexip-e51-arty.cfg b/FreedomStudio/E51FPGA/global_interrupts/sifive-coreplexip-e51-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E51FPGA/global_interrupts/sifive-coreplexip-e51-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/local_interrupts/.cproject b/FreedomStudio/E51FPGA/local_interrupts/.cproject index b0f6bb1..76d711f 100644 --- a/FreedomStudio/E51FPGA/local_interrupts/.cproject +++ b/FreedomStudio/E51FPGA/local_interrupts/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,87 +14,116 @@ - - - - - - + + - + @@ -103,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/E51FPGA/local_interrupts/.project b/FreedomStudio/E51FPGA/local_interrupts/.project index 6f8e903..67593fd 100644 --- a/FreedomStudio/E51FPGA/local_interrupts/.project +++ b/FreedomStudio/E51FPGA/local_interrupts/.project @@ -54,6 +54,11 @@ 2 virtual:/virtual + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + bsp/drivers/fe300prci 2 @@ -69,6 +74,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + bsp/env/coreplexip-e51-arty 2 @@ -84,6 +94,11 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/entry.S + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + bsp/env/start.S 1 @@ -124,11 +139,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.c - - bsp/env/coreplexip-e51-arty/init.o - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.o - bsp/env/coreplexip-e51-arty/link.lds 1 @@ -144,16 +154,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/platform.h - - bsp/env/coreplexip-e51-arty/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/E51FPGA/local_interrupts/link.lds b/FreedomStudio/E51FPGA/local_interrupts/link.lds deleted file mode 100644 index 45a82d7..0000000 --- a/FreedomStudio/E51FPGA/local_interrupts/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x40400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/E51FPGA/local_interrupts/local_interrupts Debug.launch b/FreedomStudio/E51FPGA/local_interrupts/local_interrupts Debug.launch new file mode 100644 index 0000000..04cb6e6 --- /dev/null +++ b/FreedomStudio/E51FPGA/local_interrupts/local_interrupts Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E51FPGA/local_interrupts/local_interrupts OpenOCD.launch b/FreedomStudio/E51FPGA/local_interrupts/local_interrupts OpenOCD.launch deleted file mode 100644 index a9a6f5c..0000000 --- a/FreedomStudio/E51FPGA/local_interrupts/local_interrupts OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/E51FPGA/local_interrupts/openocd.cfg b/FreedomStudio/E51FPGA/local_interrupts/openocd.cfg deleted file mode 100644 index 8b382dc..0000000 --- a/FreedomStudio/E51FPGA/local_interrupts/openocd.cfg +++ /dev/null @@ -1,31 +0,0 @@ -# JTAG adapter setup -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" -ftdi_vid_pid 0x15ba 0x002a - -ftdi_layout_init 0x0808 0x0a1b -ftdi_layout_signal nSRST -oe 0x0200 -#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 -ftdi_layout_signal LED -data 0x0800 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 - -set _TARGETNAME $_CHIPNAME.cpu - -target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME -$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -# Un-comment these two flash lines if you have a SPI flash and want to write -# it. -flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 -init -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z -} -halt -flash protect 0 64 last off -echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/local_interrupts/sifive-coreplexip-e51-arty.cfg b/FreedomStudio/E51FPGA/local_interrupts/sifive-coreplexip-e51-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E51FPGA/local_interrupts/sifive-coreplexip-e51-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/performance_counters/.cproject b/FreedomStudio/E51FPGA/performance_counters/.cproject new file mode 100644 index 0000000..9ca2de1 --- /dev/null +++ b/FreedomStudio/E51FPGA/performance_counters/.cproject @@ -0,0 +1,217 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E51FPGA/performance_counters/.gitignore b/FreedomStudio/E51FPGA/performance_counters/.gitignore new file mode 100644 index 0000000..3df573f --- /dev/null +++ b/FreedomStudio/E51FPGA/performance_counters/.gitignore @@ -0,0 +1 @@ +/Debug/ diff --git a/FreedomStudio/E51FPGA/performance_counters/.project b/FreedomStudio/E51FPGA/performance_counters/.project new file mode 100644 index 0000000..6986ffb --- /dev/null +++ b/FreedomStudio/E51FPGA/performance_counters/.project @@ -0,0 +1,228 @@ + + + performance_counters + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + + + + bsp + 2 + virtual:/virtual + + + performance_counters.c + 1 + PARENT-3-PROJECT_LOC/software/performance_counters/performance_counters.c + + + bsp/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/.DS_Store + + + bsp/drivers + 2 + virtual:/virtual + + + bsp/env + 2 + virtual:/virtual + + + bsp/include + 2 + virtual:/virtual + + + bsp/drivers/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/.DS_Store + + + bsp/drivers/fe300prci + 2 + virtual:/virtual + + + bsp/drivers/plic + 2 + virtual:/virtual + + + bsp/env/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/env/.DS_Store + + + bsp/env/coreplexip-arty.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-arty.h + + + bsp/env/coreplexip-e51-arty + 2 + virtual:/virtual + + + bsp/env/encoding.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/encoding.h + + + bsp/env/entry.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/entry.S + + + bsp/env/hifive1.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/hifive1.h + + + bsp/env/start.S + 1 + PARENT-3-PROJECT_LOC/bsp/env/start.S + + + bsp/include/.DS_Store + 1 + PARENT-3-PROJECT_LOC/bsp/include/.DS_Store + + + bsp/include/sifive + 2 + virtual:/virtual + + + bsp/drivers/fe300prci/fe300prci_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.c + + + bsp/drivers/fe300prci/fe300prci_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/fe300prci/fe300prci_driver.h + + + bsp/drivers/plic/plic_driver.c + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.c + + + bsp/drivers/plic/plic_driver.h + 1 + PARENT-3-PROJECT_LOC/bsp/drivers/plic/plic_driver.h + + + bsp/env/coreplexip-e51-arty/init.c + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/init.c + + + bsp/env/coreplexip-e51-arty/link.lds + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/link.lds + + + bsp/env/coreplexip-e51-arty/openocd.cfg + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/openocd.cfg + + + bsp/env/coreplexip-e51-arty/platform.h + 1 + PARENT-3-PROJECT_LOC/bsp/env/coreplexip-e51-arty/platform.h + + + bsp/include/sifive/bits.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/bits.h + + + bsp/include/sifive/const.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/const.h + + + bsp/include/sifive/devices + 2 + virtual:/virtual + + + bsp/include/sifive/sections.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/sections.h + + + bsp/include/sifive/smp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/smp.h + + + bsp/include/sifive/devices/aon.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/aon.h + + + bsp/include/sifive/devices/clint.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/clint.h + + + bsp/include/sifive/devices/gpio.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/gpio.h + + + bsp/include/sifive/devices/otp.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/otp.h + + + bsp/include/sifive/devices/plic.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/plic.h + + + bsp/include/sifive/devices/prci.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/prci.h + + + bsp/include/sifive/devices/pwm.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/pwm.h + + + bsp/include/sifive/devices/spi.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/spi.h + + + bsp/include/sifive/devices/uart.h + 1 + PARENT-3-PROJECT_LOC/bsp/include/sifive/devices/uart.h + + + diff --git a/FreedomStudio/E51FPGA/performance_counters/performance_counters Debug.launch b/FreedomStudio/E51FPGA/performance_counters/performance_counters Debug.launch new file mode 100644 index 0000000..4b980ad --- /dev/null +++ b/FreedomStudio/E51FPGA/performance_counters/performance_counters Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/E51FPGA/performance_counters/sifive-coreplexip-e51-arty.cfg b/FreedomStudio/E51FPGA/performance_counters/sifive-coreplexip-e51-arty.cfg new file mode 100644 index 0000000..8b382dc --- /dev/null +++ b/FreedomStudio/E51FPGA/performance_counters/sifive-coreplexip-e51-arty.cfg @@ -0,0 +1,31 @@ +# JTAG adapter setup +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H" +ftdi_vid_pid 0x15ba 0x002a + +ftdi_layout_init 0x0808 0x0a1b +ftdi_layout_signal nSRST -oe 0x0200 +#ftdi_layout_signal nTRST -data 0x0100 -oe 0x0100 +ftdi_layout_signal LED -data 0x0800 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001 + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +# Un-comment these two flash lines if you have a SPI flash and want to write +# it. +flash bank spi0 fespi 0x40000000 0 0 0 $_TARGETNAME.0 0x20004000 +init +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z +} +halt +flash protect 0 64 last off +echo "Ready for Remote Connections" diff --git a/FreedomStudio/E51FPGA/wrap-E51FPGA/.cproject b/FreedomStudio/E51FPGA/wrap-E51FPGA/.cproject index b973191..5eaec6b 100644 --- a/FreedomStudio/E51FPGA/wrap-E51FPGA/.cproject +++ b/FreedomStudio/E51FPGA/wrap-E51FPGA/.cproject @@ -1,11 +1,17 @@ - - - + + + + + + + + + - + @@ -14,66 +20,89 @@ - - - - - - - + + + + + + + + + - + @@ -82,33 +111,56 @@ - - - - - + - - - - - + + - - - - - + + - @@ -144,4 +189,5 @@ + diff --git a/FreedomStudio/E51FPGA/wrap-E51FPGA/.project b/FreedomStudio/E51FPGA/wrap-E51FPGA/.project index 61ac882..db837eb 100644 --- a/FreedomStudio/E51FPGA/wrap-E51FPGA/.project +++ b/FreedomStudio/E51FPGA/wrap-E51FPGA/.project @@ -44,66 +44,131 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/misc/write_hex.c + + misc/write_hex.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/misc/write_hex.o + stdlib/malloc.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/stdlib/malloc.c + + stdlib/malloc.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/stdlib/malloc.o + sys/_exit.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/_exit.c + + sys/_exit.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/_exit.o + sys/close.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/close.c + + sys/close.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/close.o + sys/execve.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/execve.c + + sys/execve.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/execve.o + sys/fork.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fork.c + + sys/fork.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fork.o + sys/fstat.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fstat.c + + sys/fstat.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/fstat.o + sys/getpid.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/getpid.c + + sys/getpid.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/getpid.o + sys/isatty.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/isatty.c + + sys/isatty.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/isatty.o + sys/kill.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/kill.c + + sys/kill.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/kill.o + sys/link.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/link.c + + sys/link.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/link.o + sys/lseek.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/lseek.c + + sys/lseek.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/lseek.o + sys/open.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/open.c + + sys/open.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/open.o + sys/openat.c 1 @@ -114,16 +179,31 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/read.c + + sys/read.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/read.o + sys/sbrk.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/sbrk.c + + sys/sbrk.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/sbrk.o + sys/stat.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stat.c + + sys/stat.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/stat.o + sys/stub.h 1 @@ -134,20 +214,40 @@ 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/times.c + + sys/times.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/times.o + sys/unlink.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/unlink.c + + sys/unlink.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/unlink.o + sys/wait.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/wait.c + + sys/wait.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/wait.o + sys/write.c 1 PARENT-3-PROJECT_LOC/bsp/libwrap/sys/write.c + + sys/write.o + 1 + PARENT-3-PROJECT_LOC/bsp/libwrap/sys/write.o + diff --git a/FreedomStudio/HiFive1/demo_gpio/.DS_Store b/FreedomStudio/HiFive1/demo_gpio/.DS_Store deleted file mode 100644 index cf0a156..0000000 Binary files a/FreedomStudio/HiFive1/demo_gpio/.DS_Store and /dev/null differ diff --git a/FreedomStudio/HiFive1/demo_gpio/.cproject b/FreedomStudio/HiFive1/demo_gpio/.cproject index d8d8a19..632345c 100644 --- a/FreedomStudio/HiFive1/demo_gpio/.cproject +++ b/FreedomStudio/HiFive1/demo_gpio/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,85 +14,117 @@ - - - - - - + + - + @@ -101,33 +133,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/HiFive1/demo_gpio/.project b/FreedomStudio/HiFive1/demo_gpio/.project index 871f240..b3a70db 100644 --- a/FreedomStudio/HiFive1/demo_gpio/.project +++ b/FreedomStudio/HiFive1/demo_gpio/.project @@ -144,16 +144,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/platform.h - - bsp/env/freedom-e300-hifive1/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/HiFive1/demo_gpio/.settings/language.settings.xml b/FreedomStudio/HiFive1/demo_gpio/.settings/language.settings.xml new file mode 100644 index 0000000..efc5fc1 --- /dev/null +++ b/FreedomStudio/HiFive1/demo_gpio/.settings/language.settings.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/HiFive1/demo_gpio/demo_gpio OpenOCD.launch b/FreedomStudio/HiFive1/demo_gpio/demo_gpio OpenOCD.launch index 8c9effd..b082d2a 100644 --- a/FreedomStudio/HiFive1/demo_gpio/demo_gpio OpenOCD.launch +++ b/FreedomStudio/HiFive1/demo_gpio/demo_gpio OpenOCD.launch @@ -1,29 +1,29 @@ - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - + @@ -39,15 +39,15 @@ - - + + - + diff --git a/FreedomStudio/HiFive1/demo_gpio/link.lds b/FreedomStudio/HiFive1/demo_gpio/link.lds deleted file mode 100644 index 90e5c8f..0000000 --- a/FreedomStudio/HiFive1/demo_gpio/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x20400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/HiFive1/demo_gpio/openocd.cfg b/FreedomStudio/HiFive1/demo_gpio/openocd.cfg deleted file mode 100644 index b0a8e26..0000000 --- a/FreedomStudio/HiFive1/demo_gpio/openocd.cfg +++ /dev/null @@ -1,34 +0,0 @@ -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Dual RS232-HS" -ftdi_vid_pid 0x0403 0x6010 - -ftdi_layout_init 0x0008 0x001b -ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 - -#Reset Stretcher logic on FE310 is ~1 second long -#This doesn't apply if you use -# ftdi_set_signal, but still good to document -#adapter_nsrst_delay 1500 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 - -set _TARGETNAME $_CHIPNAME.cpu -target create $_TARGETNAME riscv -chain-position $_TARGETNAME -$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME -init -#reset -- This type of reset is not implemented yet -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z - #Wait for the reset stretcher - #It will work without this, but - #will incur lots of delays for later commands. - sleep 1500 -} -halt -flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/demo_gpio/sifive-freedom-e300-hifive1.cfg b/FreedomStudio/HiFive1/demo_gpio/sifive-freedom-e300-hifive1.cfg new file mode 100644 index 0000000..b0a8e26 --- /dev/null +++ b/FreedomStudio/HiFive1/demo_gpio/sifive-freedom-e300-hifive1.cfg @@ -0,0 +1,34 @@ +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Dual RS232-HS" +ftdi_vid_pid 0x0403 0x6010 + +ftdi_layout_init 0x0008 0x001b +ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 + +#Reset Stretcher logic on FE310 is ~1 second long +#This doesn't apply if you use +# ftdi_set_signal, but still good to document +#adapter_nsrst_delay 1500 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 + +set _TARGETNAME $_CHIPNAME.cpu +target create $_TARGETNAME riscv -chain-position $_TARGETNAME +$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME +init +#reset -- This type of reset is not implemented yet +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z + #Wait for the reset stretcher + #It will work without this, but + #will incur lots of delays for later commands. + sleep 1500 +} +halt +flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/hello/.DS_Store b/FreedomStudio/HiFive1/hello/.DS_Store deleted file mode 100644 index cf0a156..0000000 Binary files a/FreedomStudio/HiFive1/hello/.DS_Store and /dev/null differ diff --git a/FreedomStudio/HiFive1/hello/.cproject b/FreedomStudio/HiFive1/hello/.cproject index cff5b87..1bd0227 100644 --- a/FreedomStudio/HiFive1/hello/.cproject +++ b/FreedomStudio/HiFive1/hello/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,79 +14,114 @@ - - - - - - + + - + @@ -95,33 +130,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/HiFive1/hello/.project b/FreedomStudio/HiFive1/hello/.project index b446db1..65638ec 100644 --- a/FreedomStudio/HiFive1/hello/.project +++ b/FreedomStudio/HiFive1/hello/.project @@ -144,16 +144,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/platform.h - - bsp/env/freedom-e300-hifive1/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/HiFive1/hello/.settings/language.settings.xml b/FreedomStudio/HiFive1/hello/.settings/language.settings.xml new file mode 100644 index 0000000..1b467cf --- /dev/null +++ b/FreedomStudio/HiFive1/hello/.settings/language.settings.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/HiFive1/hello/hello Debug.launch b/FreedomStudio/HiFive1/hello/hello Debug.launch new file mode 100644 index 0000000..6b567b6 --- /dev/null +++ b/FreedomStudio/HiFive1/hello/hello Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/HiFive1/hello/hello OpenOCD.launch b/FreedomStudio/HiFive1/hello/hello OpenOCD.launch deleted file mode 100644 index fda63e6..0000000 --- a/FreedomStudio/HiFive1/hello/hello OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/HiFive1/hello/link.lds b/FreedomStudio/HiFive1/hello/link.lds deleted file mode 100644 index 90e5c8f..0000000 --- a/FreedomStudio/HiFive1/hello/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x20400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/HiFive1/hello/openocd.cfg b/FreedomStudio/HiFive1/hello/openocd.cfg deleted file mode 100644 index b0a8e26..0000000 --- a/FreedomStudio/HiFive1/hello/openocd.cfg +++ /dev/null @@ -1,34 +0,0 @@ -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Dual RS232-HS" -ftdi_vid_pid 0x0403 0x6010 - -ftdi_layout_init 0x0008 0x001b -ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 - -#Reset Stretcher logic on FE310 is ~1 second long -#This doesn't apply if you use -# ftdi_set_signal, but still good to document -#adapter_nsrst_delay 1500 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 - -set _TARGETNAME $_CHIPNAME.cpu -target create $_TARGETNAME riscv -chain-position $_TARGETNAME -$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME -init -#reset -- This type of reset is not implemented yet -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z - #Wait for the reset stretcher - #It will work without this, but - #will incur lots of delays for later commands. - sleep 1500 -} -halt -flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/hello/sifive-freedom-e300-hifive1.cfg b/FreedomStudio/HiFive1/hello/sifive-freedom-e300-hifive1.cfg new file mode 100644 index 0000000..b0a8e26 --- /dev/null +++ b/FreedomStudio/HiFive1/hello/sifive-freedom-e300-hifive1.cfg @@ -0,0 +1,34 @@ +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Dual RS232-HS" +ftdi_vid_pid 0x0403 0x6010 + +ftdi_layout_init 0x0008 0x001b +ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 + +#Reset Stretcher logic on FE310 is ~1 second long +#This doesn't apply if you use +# ftdi_set_signal, but still good to document +#adapter_nsrst_delay 1500 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 + +set _TARGETNAME $_CHIPNAME.cpu +target create $_TARGETNAME riscv -chain-position $_TARGETNAME +$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME +init +#reset -- This type of reset is not implemented yet +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z + #Wait for the reset stretcher + #It will work without this, but + #will incur lots of delays for later commands. + sleep 1500 +} +halt +flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/led_fade/.cproject b/FreedomStudio/HiFive1/led_fade/.cproject index 0d35964..92fe9ff 100644 --- a/FreedomStudio/HiFive1/led_fade/.cproject +++ b/FreedomStudio/HiFive1/led_fade/.cproject @@ -1,11 +1,11 @@ - - + + - + @@ -14,83 +14,116 @@ - - - - - - + + - + @@ -99,33 +132,61 @@ - - - - - + - - - - - - - - + + - - + + - + - + diff --git a/FreedomStudio/HiFive1/led_fade/.project b/FreedomStudio/HiFive1/led_fade/.project index 4756625..477c4ea 100644 --- a/FreedomStudio/HiFive1/led_fade/.project +++ b/FreedomStudio/HiFive1/led_fade/.project @@ -144,16 +144,6 @@ 1 PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/platform.h - - bsp/env/freedom-e300-hifive1/settings.mk - 1 - PARENT-3-PROJECT_LOC/bsp/env/freedom-e300-hifive1/settings.mk - - - bsp/include/sifive/.DS_Store - 1 - PARENT-3-PROJECT_LOC/bsp/include/sifive/.DS_Store - bsp/include/sifive/bits.h 1 diff --git a/FreedomStudio/HiFive1/led_fade/.settings/language.settings.xml b/FreedomStudio/HiFive1/led_fade/.settings/language.settings.xml new file mode 100644 index 0000000..c58dcd0 --- /dev/null +++ b/FreedomStudio/HiFive1/led_fade/.settings/language.settings.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/HiFive1/led_fade/led_fade Debug.launch b/FreedomStudio/HiFive1/led_fade/led_fade Debug.launch new file mode 100644 index 0000000..b5d18d4 --- /dev/null +++ b/FreedomStudio/HiFive1/led_fade/led_fade Debug.launch @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FreedomStudio/HiFive1/led_fade/led_fade OpenOCD.launch b/FreedomStudio/HiFive1/led_fade/led_fade OpenOCD.launch deleted file mode 100644 index 3321d53..0000000 --- a/FreedomStudio/HiFive1/led_fade/led_fade OpenOCD.launch +++ /dev/null @@ -1,59 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FreedomStudio/HiFive1/led_fade/link.lds b/FreedomStudio/HiFive1/led_fade/link.lds deleted file mode 100644 index 90e5c8f..0000000 --- a/FreedomStudio/HiFive1/led_fade/link.lds +++ /dev/null @@ -1,167 +0,0 @@ -OUTPUT_ARCH( "riscv" ) - -ENTRY( _start ) - -MEMORY -{ - flash (rxai!w) : ORIGIN = 0x20400000, LENGTH = 512M - ram (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 16K -} - -PHDRS -{ - flash PT_LOAD; - ram_init PT_LOAD; - ram PT_NULL; -} - -SECTIONS -{ - __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; - - .init : - { - KEEP (*(SORT_NONE(.init))) - } >flash AT>flash :flash - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >flash AT>flash :flash - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >flash AT>flash :flash - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >flash AT>flash :flash - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >flash AT>flash :flash - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >flash AT>flash :flash - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >flash AT>flash :flash - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >flash AT>flash :flash - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >flash AT>flash :flash - - .lalign : - { - . = ALIGN(4); - PROVIDE( _data_lma = . ); - } >flash AT>flash :flash - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >ram AT>flash :ram_init - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - } >ram AT>flash :ram_init - - .srodata : - { - PROVIDE( _gp = . + 0x800 ); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram AT>flash :ram_init - - .sdata : - { - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>flash :ram_init - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram AT>ram :ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); - - .stack ORIGIN(ram) + LENGTH(ram) - __stack_size : - { - PROVIDE( _heap_end = . ); - . = __stack_size; - PROVIDE( _sp = . ); - } >ram AT>ram :ram -} diff --git a/FreedomStudio/HiFive1/led_fade/openocd.cfg b/FreedomStudio/HiFive1/led_fade/openocd.cfg deleted file mode 100644 index b0a8e26..0000000 --- a/FreedomStudio/HiFive1/led_fade/openocd.cfg +++ /dev/null @@ -1,34 +0,0 @@ -adapter_khz 10000 - -interface ftdi -ftdi_device_desc "Dual RS232-HS" -ftdi_vid_pid 0x0403 0x6010 - -ftdi_layout_init 0x0008 0x001b -ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 - -#Reset Stretcher logic on FE310 is ~1 second long -#This doesn't apply if you use -# ftdi_set_signal, but still good to document -#adapter_nsrst_delay 1500 - -set _CHIPNAME riscv -jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 - -set _TARGETNAME $_CHIPNAME.cpu -target create $_TARGETNAME riscv -chain-position $_TARGETNAME -$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 - -flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME -init -#reset -- This type of reset is not implemented yet -if {[ info exists pulse_srst]} { - ftdi_set_signal nSRST 0 - ftdi_set_signal nSRST z - #Wait for the reset stretcher - #It will work without this, but - #will incur lots of delays for later commands. - sleep 1500 -} -halt -flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/led_fade/sifive-freedom-e300-hifive1.cfg b/FreedomStudio/HiFive1/led_fade/sifive-freedom-e300-hifive1.cfg new file mode 100644 index 0000000..b0a8e26 --- /dev/null +++ b/FreedomStudio/HiFive1/led_fade/sifive-freedom-e300-hifive1.cfg @@ -0,0 +1,34 @@ +adapter_khz 10000 + +interface ftdi +ftdi_device_desc "Dual RS232-HS" +ftdi_vid_pid 0x0403 0x6010 + +ftdi_layout_init 0x0008 0x001b +ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020 + +#Reset Stretcher logic on FE310 is ~1 second long +#This doesn't apply if you use +# ftdi_set_signal, but still good to document +#adapter_nsrst_delay 1500 + +set _CHIPNAME riscv +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913 + +set _TARGETNAME $_CHIPNAME.cpu +target create $_TARGETNAME riscv -chain-position $_TARGETNAME +$_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 10000 -work-area-backup 1 + +flash bank onboard_spi_flash fespi 0x20000000 0 0 0 $_TARGETNAME +init +#reset -- This type of reset is not implemented yet +if {[ info exists pulse_srst]} { + ftdi_set_signal nSRST 0 + ftdi_set_signal nSRST z + #Wait for the reset stretcher + #It will work without this, but + #will incur lots of delays for later commands. + sleep 1500 +} +halt +flash protect 0 64 last off diff --git a/FreedomStudio/HiFive1/wrap-hifive1/.cproject b/FreedomStudio/HiFive1/wrap-hifive1/.cproject index 3ac5b88..365eece 100644 --- a/FreedomStudio/HiFive1/wrap-hifive1/.cproject +++ b/FreedomStudio/HiFive1/wrap-hifive1/.cproject @@ -1,11 +1,17 @@ - - - + + + + + + + + + - + @@ -14,60 +20,88 @@ - - - - - - - + + + + + + + + + - + @@ -76,33 +110,56 @@ - - - - - + - - + + - - - - - - - - + + - + + + + + + + + diff --git a/FreedomStudio/HiFive1/wrap-hifive1/.settings/language.settings.xml b/FreedomStudio/HiFive1/wrap-hifive1/.settings/language.settings.xml new file mode 100644 index 0000000..65dcca2 --- /dev/null +++ b/FreedomStudio/HiFive1/wrap-hifive1/.settings/language.settings.xml @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp/env/freedom-e300-arty/platform.h b/bsp/env/freedom-e300-arty/platform.h index a3a3c07..8ff7ae6 100644 --- a/bsp/env/freedom-e300-arty/platform.h +++ b/bsp/env/freedom-e300-arty/platform.h @@ -96,7 +96,6 @@ #define GPIO_REG(offset) _REG32(GPIO_CTRL_ADDR, offset) #define OTP_REG(offset) _REG32(OTP_CTRL_ADDR, offset) #define PLIC_REG(offset) _REG32(PLIC_CTRL_ADDR, offset) -#define PRCI_REG(offset) _REG32(PRCI_CTRL_ADDR, offset) #define PWM0_REG(offset) _REG32(PWM0_CTRL_ADDR, offset) #define PWM1_REG(offset) _REG32(PWM1_CTRL_ADDR, offset) #define PWM2_REG(offset) _REG32(PWM2_CTRL_ADDR, offset) diff --git a/software/led_fade/.unsupported-boards b/software/led_fade/.unsupported-boards index 4e93148..ad80e34 100644 --- a/software/led_fade/.unsupported-boards +++ b/software/led_fade/.unsupported-boards @@ -1,3 +1,4 @@ +freedom-e300-arty coreplexip-e31-arty coreplexip-e51-arty -- cgit v1.2.1-18-gbd029